CN1892998A - 形成半导体结构或元件的方法 - Google Patents

形成半导体结构或元件的方法 Download PDF

Info

Publication number
CN1892998A
CN1892998A CNA2005101365757A CN200510136575A CN1892998A CN 1892998 A CN1892998 A CN 1892998A CN A2005101365757 A CNA2005101365757 A CN A2005101365757A CN 200510136575 A CN200510136575 A CN 200510136575A CN 1892998 A CN1892998 A CN 1892998A
Authority
CN
China
Prior art keywords
source
drain region
gate electrode
region
stress
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005101365757A
Other languages
English (en)
Other versions
CN100477128C (zh
Inventor
陈建豪
聂俊峰
李资良
陈世昌
梁孟松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1892998A publication Critical patent/CN1892998A/zh
Application granted granted Critical
Publication of CN100477128C publication Critical patent/CN100477128C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Abstract

本发明提供一种形成半导体结构或元件的方法。先提供一基底。一栅电极接着形成于该基底上。一源/漏极区形成于该基底。一非晶区形成于该栅电极与该源/漏极区的一上部分。一应力盖层形成于该非晶区上。对该非晶区进行极速退火,并使该非晶区结晶。该应力盖层大致全部移除。本发明所述形成半导体结构或元件的方法,可提供金属氧化物半导体元件的沟道区适当的应力。

Description

形成半导体结构或元件的方法
技术领域
本发明是大致关于金属氧化物半导体(metal-oxide-semiconductor,MOS)元件,尤指具有应力的沟道区的MOS元件与其相关制程。
背景技术
VLSI电路的缩小化是一个半导体业界不断追求的目标。当电路变的更小更快,元件的驱动电流的改善也更显的重要。元件电流大致上跟栅极的长度、栅极电容、还有载流子移动率(carriermobility)相关。较短的多晶硅栅极长度、较大的栅极电容、与较高的载流子移动率等都可以改善元件电流的表现。栅极长度的缩短可以透过元件尺寸的缩小而达成,这是业界持续努力的目标。栅极电容的增大也会随着栅介电层的变薄、栅介电常数的增加等来达成。为了改善元件电流的表现,也有许多的方法来增加载流子移动率。
在各种增加载流子移动率的方法当中,有一种已知的方法是形成一带有应力(strain or stress)的硅沟道。应力可以增强电子或是空穴的移动率。所以,MOS元件的特性就可以透过带有应力的沟道来改善。这样的技术,就可以在固定栅极长度的条件下,同时也没有增加电路设计的复杂度下,改善元件的特性。
当硅被施加压应力时,常温下、共平面(in-phase)的电子移动率就可以显著的增加。一种提供这样应力的方法是透过生长一比例渐进的SiGe外延层来达成。这样的比例渐进的SiGe外延层可以是一无应力的(relaxed)SiGe层。一层硅层接着形成在此无应力的SiGe层上。这样,此硅层中就会有应力,然后,MOS元件才形成在此硅层上。因为SiGe的晶格常数(lattice constant)大于硅的晶格常数,所以此硅层就会有双轴应力(biaxial tension),而其中的载流子就可以呈现出在应力下时的移动率来。
应力在一个元件中,可以依照方向的不同,有三个部分:平行于MOS元件沟道长度的部分,平行于MOS元件沟道宽度的部分,以及垂直于沟道平面的部分。如果应力的方向是平行沟道长度或是宽度,这样的应力称为“共平面”应力。研究有发现,属于共平面张(tensile)应力的双轴应力可以改善NMOS的效能,而平行于沟道方向的压(compressive)应力可以改善PMOS的效能。
应力也可以透过在MOS元件上形成一应力盖层(strainedcapping layer)来实现。譬如说,一接触蚀刻停止(contact etchstop,CES)层就可以当这样的应力盖层。当一应力盖层沉积时,因为应力盖层跟底下的物质之间晶格间隔距离的差异,为了要尝试去拉齐彼此的晶格,共平面应力就会因而产生。图1显示了具有一应力沟道区的一传统MOS元件。应力盖层,如同栅侧壁子9与CES层14所示,可以对源/漏极区12(包含LDD区15)引入应力,而这样的应力可以导入沟道区11中。所以沟道区11中的载流子移动率就可以改善。
传统形成应力盖层的方法有不少的缺点,而且,其效果也受限于应力盖层本身的特性。譬如说,应力盖层的厚度不可以太厚,否则将增大后续填缝技术的困难度。因此,应力盖层所可以提供的应力就相当的有限。此外,一旦应力盖层移除了,所提供的应力往往就跟着消失了。
因此,要如何提供MOS元件的沟道区适当的应力,就成了一个迫切祈求的目标。
发明内容
为解决现有技术中的上述问题,本发明提供一种形成半导体结构的方法。先提供一基底。一栅电极接着形成于该基底上。一源/漏极区形成于该基底。一非晶(amorphous)区形成于该栅电极与该源/漏极区的一上部分。一应力盖层形成于该非晶区上。对该非晶区进行极速退火(super annealing),并使该非晶区结晶。该应力盖层大致全部移除。
本发明所述的形成半导体结构的方法,该非晶区是形成于该源/漏极区中。
本发明所述的形成半导体结构的方法,形成该源/漏极区的步骤是以一离子注入制程进行,且该离子注入制程形成该非晶区。
本发明所述的形成半导体结构的方法,形成该非晶区的步骤是包含有一预非晶化注入。
本发明所述的形成半导体结构的方法,该栅电极包含有硅,且该非晶区是位于该栅电极中。
本发明所述的形成半导体结构的方法,于移除全部的该应力盖层的步骤前,另包含有一额外的退火步骤。
本发明所述的形成半导体结构的方法,另包含有:形成一栅侧壁子于该栅电极的一侧壁;形成一金属硅化物区于该源/漏极区;形成一接触蚀刻停止层于该源/漏极区与该栅电极上;以及形成一层间介电(inter layer dielectric,ILD)层于该接触蚀刻停止层上。
本发明亦提供一种形成一半导体元件的方法。先提供一基底,具有一第一元件区。对该第一元件区中的一源/漏极区进行离子注入。形成一应力盖层于该源/漏极区上。对该源/漏极区进行极速退火(super annealing),并使该源/漏极区结晶。最后,大致移除全部的该应力盖层。
本发明所述的形成半导体元件的方法,该极速退火是以一高能量的放射源对该基底曝照。
本发明所述的形成半导体元件的方法,该极速退火的处理时间是介于约1皮秒到约1秒之间。
本发明所述的形成半导体元件的方法,另包含有预非晶化该源/漏极区的一上部分。
本发明所述的形成半导体元件的方法,于移除全部的该应力盖层的步骤前,另包含有一额外的退火步骤。
本发明所述的形成半导体元件的方法,另包含有:形成一多晶硅栅电极层于该第一元件区;预非晶化该多晶硅栅电极层的一上部分;形成该应力盖层于该多晶硅栅电极层上;对该多晶硅栅电极层进行极速退火,并使该多晶硅栅电极层结晶;以及于大致移除全部的该应力盖层的步骤后,图案化该多晶硅栅电极层,以形成一栅电极。
本发明所述的形成半导体元件的方法,另包含有:形成一多晶硅栅电极层于该第一元件区;图案化该多晶硅栅电极层,以形成一栅电极;预非晶化该栅电极的一上部分;形成该应力盖层于该栅电极上;于大致移除全部的该应力盖层的步骤前,对该栅电极进行极速退火,并使该栅电极结晶。
本发明所述的形成半导体元件的方法,于大致移除全部的该应力盖层的步骤后,另包含有:形成一栅侧壁子于该栅电极的一侧壁。
本发明所述的形成半导体元件的方法,于大致移除全部的该应力盖层的步骤前,另包含有:形成一栅侧壁子于该栅电极的一侧壁。
本发明所述的形成半导体元件的方法,该基底另包含有一第二元件区,且该第二元件区于该源/漏极区进行该离子注入步骤、以及该极速退火与重结晶步骤时,该第二元件区是被遮住。
本发明还提供一种形成半导体结构的方法。先提供一基底,具有一第一以及一第二元件区。形成一第一栅介电层于该第一元件区的该基底上,并形成一第一栅电极于该第一栅介电层上。形成一第二栅介电层于该第二元件区的该基底上,并形成一第二栅电极于该第二栅介电层上。形成一第一源/漏极区于该第一元件区。形成一第二源/漏极区于该第二元件区。预非晶化(pre-amorphizating)该第一源/漏极区以及该第一栅电极。形成一第一应力盖层于该第一源/漏极区以及该第一栅电极上。对该第一源/漏极区以及该第一栅电极进行极速退火(super annealing),并使该第一源/漏极区以及该第一栅电极结晶。并移除该第一应力盖层。
本发明所述的形成半导体结构的方法,于对该第一源/漏极区以及该第一栅电极进行该极速退火以及该结晶之前,另包含有一步骤,遮住该第二元件区。
本发明所述的形成半导体结构的方法,另包含有:预非晶化该第二源/漏极区以及该第二栅电极;形成一第二应力盖层于该第二源/漏极区以及该第二栅电极上,其中,该第二应力盖层与该第一应力盖层的应力不同;对该第二源/漏极区以及该第二栅电极进行极速退火,并使该第二源/漏极区以及该第二栅电极结晶;以及移除该第二应力盖层。
本发明所述形成半导体结构或元件的方法,可提供MOS元件的沟道区适当的应力。
附图说明
图1显示了具有一应力沟道区的一传统MOS元件;
图2显示将实施本发明的一基底与其上的栅极结构;
图3显示栅侧壁子(gate spacer)106与206的形成;
图4显示源/漏极区108与208的形成;
图5A显示一掩膜层222形成,盖在第二元件区200上;
图5B显示第二元件区200中,曝露的基底40的上部分220跟栅电极204的上部分224变成非晶的状态;
图6显示了应力盖层126的形成;
图7表示一极速退火制程;
图8显示应力盖层126的移除后的结构图;
图9显示了在金属硅化物区146与246、接触蚀刻停止层148、以及层间介电层150形成之后的结构;
图10显示一种在多晶硅沉积之后到图案化之前形成应力的实施例;
图11显示应力形成在第一栅结构102图案化之后,但是在栅侧壁子形成之前;
图12显示应力是产生并存放在栅侧壁子106形成之后。
具体实施方式
为使本发明的上述目的、特征和优点能更明显易懂,下文特举一较佳实施例,并配合所附图式,作详细说明如下:
本发明的实施例显示于图2到图9中。图10到图12也讨论了许多实施例的变化。在这些不同的图与实施例当中,一样的符号将用在一样的零件上。
请看图2,其中有显示了一基底40。基底40可以用一般熟知的基底材料,譬如说硅、SiGe、长在SiGe上带有应力的硅、绝缘层上覆硅(silicon on insulator,SOI)、绝缘层上覆硅锗(silicongermanium on insulator,SGOI)、绝缘层上覆锗(germanium oninsulator,SGOI)等等。这样的基底40可以带有第一元件区100跟第二元件区200,用来形成不一样的逻辑元件。于一实施例中,元件区100跟200的其中之一用来形成PMOS;另一个用来形成NMOS。于其他一实施例中,元件区100跟200的其中之一是核心区(core region),用来形成核心元件(core device);另一个是周边(periphery)区,用来形成输入/输出(Input/output)元件。
第一元件区100中形成了一第一栅结构102,具有一栅介电层103以及一栅电极104。第二元件区200中形成了一第二栅结构202,具有一栅介电层203以及一栅电极204。如同一般所熟知的,为了要形成这些栅结构,一栅介电层先形成在基底40上,然后一栅电极层接着形成在栅介电层上。在较佳的实施例中,栅电极层是多晶硅。在其他的实施例中,也可以使用其他的导电物,像是金属、或是金属硅化物等。栅介电层跟栅电极层接着可以被图案化,来形成栅电极104与204、跟栅介电层103与203。轻掺杂源/漏极(lightly-doped source/drain,LDD)区105跟205可以接着用注入适当的杂质而形成。
图3显示栅侧壁子(gate spacer)106与206的形成。为了要形成这样的栅侧壁子,一般是先全面的沉积一侧壁子层在先前所形成的结构上。这侧壁子层可的材料可以是SiN、SiC、氮氧化硅(oxynitride)、氧化硅(oxide)等等,而且可以用传统的沉积方法形成,譬如等离子辅助化学气相沉积(plasma enhanced chemicalvapor deposition,PECVD),或是溅镀(sputter)的方法等。侧壁子的成形,可以用非等向性蚀刻,移除掉在垂直表面上的侧壁子层。
图4显示源/漏极区108与208的形成。源/漏极区108与208的表面可能比基底40的表面低或是高,低的话可以用蚀刻的技术,高的话可以用外延成长的技术,相对应后续形成的应力层也会变低或是变高。在一例子中,源/漏极区108与208是以离子注入的方式,将杂质注入基底40中而形成。栅侧壁子106以及206用来当作掩膜,所以源/漏极区108与208的边缘会跟栅侧壁子106以及206大致切齐。栅电极104与204最好也一起被离子注入,用以降低其电阻。这样的离子注入过程会导致源/漏极区108与208的晶格结构遭受破坏,所以会形成一非晶硅(amorphous)结构。
一掩膜层222接着形成,盖在第二元件区200上,如同图5A所示。在较佳实施例中,掩膜层222可以是一光致抗蚀剂层。在其他可能的实施例中,掩膜层222可能是一光致抗蚀剂层、一抗反射(anti-reflect coating,ARC)层、一硬掩膜层或者上述的组合层。
接着实施一预非晶化注入(pre-amorphizationimplantation,PAI)步骤,以箭头125表示。在较佳实施例中,注入物可以是硅或是锗。在其他的实施例中,惰性气体,像是氖(neon)、氩(argon)、氙(xenon)、以及氡(radon)等都可以使用。这样的PAI可以破坏基底40的晶格结构,同时预防后续的注入杂质因为沟道(channeling)效应而穿过晶格中的间隙跑到太深的地方。至少,被PAI后,曝露的基底40的上部分120跟栅电极104的上部分124会变成非晶硅(amorphous)的状态。此非晶化注入可选择做或是不做,其目的在于增加非晶化的程度以加强后续应力调变的效果,若之前的离子注入步骤已能达到足够的非晶化,那么此步骤可不用做。上部分120的深度最好大于20纳米(nm)。然后,掩膜层222可以去除。
在另一个实施例中,如同图5B所示,掩膜层222并没有形成,所以,在第二元件区200中,曝露的基底40的上部分220跟栅电极204的上部分224会变成非晶硅(amorphous)的状态。
图6显示了应力盖层126的形成。取决于所要形成的MOS元件的种类,可以选用适当的材料,来提供张应力或是压应力给元件中的沟道区。这样的材料可以是SiN、氮氧化硅(oxynitride)、氧化硅(oxide)、SiGe、SiC、或是以上材料的组合等等。在应力盖层跟基底40之间可以形成一缓冲(buffer)层(未显示)。缓冲层可以是一氧化硅层,当稍后去除应力盖层时,可以作为蚀刻停止层。譬如说,当应力盖层是SiN时,之后可以用H3PO4去除,氧化硅的缓冲层就可以保护硅基底免于侵蚀的损害。
在较佳实施例中,应力盖层126可以是单一的一层。在其他实施例中,可以是有多层组合在一起的复合夹层结构。在更其他实施例中,应力盖层126可以有一第一部分1261在第一元件区100中,有第二部分1262在第二元件区200中,且第一部分1261跟第二部分1262所用的材料或形成的方法不一样,所以具有不同的内在应力。
请见图7,其中的箭头127代表了一极速退火制程。处理方式可以是以一高能量的放射源,譬如激光或是闪光灯,在很短的时间内,来对基底40曝照。基底40上的物品,将会因为温度的急速上升,而被热退火处理。该放射源的波长可以介于一纳米(nm)到一毫米(mm)之间。透过波长的选取,就可以控制基底40被热退火处理的深度。一般而言,波长越长,被热退火处理的深度越深。被热退火处理的深度最好大约大于200nm,而且,最好是比被预非晶化的上部分120、124的厚度来的大。热退火处理时间最好介于约1皮秒(pico-second)到约1秒(second)之间。热退火处理温度最好大约高于1000℃,可以透过调整高能量的放射源的能量来控制。在其他实施例中,此极速退火制程包含有一快速退火(flashanneal)。
一掩膜层229可以选择性地形成,把第二元件区200遮住,所以就仅有第一元件区100被极速退火制程处理。极速退火制程的能量会被掩膜层229所反射或是吸收,所以第二元件区200就免于极速退火制程处理。
极速退火制程可以用来调整应力盖层126中的内在应力。一般来说,当应力盖层126被极速退火制程热处理后,其中的应力应该会往张应力(tensile)方向变大。实验显示了应力的增加量跟极速退火制程的能量基本上是呈现正相关:能量越高,应力增加量越大。因此,可以通过不同能量的极速退火制程,来调整应力盖层126其中的内在应力,以得到不同的值。
极速退火制程也使被预非晶化的材料得以重新结晶。当被预非晶硅(amorphous)的上部分120、124重新结晶时,周遭的环境将会影响其结晶结构。譬如说,被预非晶硅(amorphous)的上部分120在重新结晶后的结晶结构,将会受到应力盖层126、栅侧壁子106以及基底40上的其它零件所影响。
在应力盖层126具有不同的区域1261跟1262(其中具有不同的内在应力)时,当应力盖层126移除后,在源/漏极区108与208中以及在栅电极104与204中的应力将会不同。所以,在第一元件区100跟第二元件区200中的MOS元件的沟道区,也会产生不同的应力。
较佳实施例可以另外包含一些退火制程,像是炉管退火(furnace anneal)、快速退火(rapid thermal anneal,RTA)、峰值退火(spike anneal)、等等。额外的退火制程可以让被预非晶化的上部分120、124重新结晶的更完整。
内含有应力的应力盖层126接着就移除,如图8所示。去除的方法可以用干蚀刻或是湿蚀刻。因为,被预非晶硅(amorphous)的上部分120、124已经重新结晶了,至少部分的应力盖层126中之内在应力就可以被“存储”起来,所以,原本应力盖层126给予MOS元件的沟道区的应力也还是会留下一些。这样应力会遗留下来的一种可能的原因是因为,上部分120、124中的应力依然受到尚未移除的环境所影响。
较佳实施例中,应力盖层126大致上被完全移除。在其他的实施例中,一小部分的应力盖层126被遗留下来而没有去除。譬如说,被留下来的应力盖层126可以用来当作金属硅化防护层。金属硅化防护层可以把基底40的部分区域跟后续的金属硅化制程相隔绝,而不要形成金属硅化物于其上。
图9显示了在金属硅化物区146与246、接触蚀刻停止(contactetch stop,CES)层148、以及层间介电(interlayer dielectric,ILD)层150形成之后的结构。如同业界所熟知的,金属硅化物区146与246可以用自行校准硅化(Salicide)制程形成在源/漏极区108与208中。为了形成一金属硅化物,一薄薄的金属层,像是钴(cobalt)、镍(nickel)、钛(Titanium)等,先形成在元件上。然后,进行一退火制程,使得金属层跟底下相接触的硅产生反应,形成一金属硅化物在其间。没有起反应,多余的金属可以去除。
在较佳实施例中,CES层148先整面的沉积上去,用的物质可以提供第一元件区100中的MOS元件所希望的应力。CES层148可以有SiN、氮氧化硅(oxynitride)、氧化硅(oxide)、等。然后,ILD层150就沉积在整个CES层148之上。
在先前所讨论的实施例中,不同的应力可以施加到不同的MOS元件的沟道区。譬如说,一第一MOS元件160是形成在第一元件区100中,而一第二MOS元件260是形成在第二元件区200中。而CES层148提供了第一应力给第二MOS元件260的沟道区252。透过多晶硅区104以及源/漏极区108的预非晶化跟极速退火,一第二应力可以产生且存放在第一MOS元件160的沟道区152中。
这样的应力可以通过在较佳实施例中的制程流程中的不同阶段来产生并存放。图10显示一种在多晶硅沉积之后到图案化之前形成应力的实施例。在基底40上形成多晶硅栅电极层180之后,进行一预非晶化制程,产生一非晶硅层162。一应力盖层164接着形成在非晶硅层162上。然后,对非晶硅层162进行一极速退火制程,使其结晶。当应力盖层164移除后,栅电极层180的上部分162就会保有一部分应力,而且就算是栅电极层180被图案化成为栅电极之后,这样的应力也将继续维持着。
一些较佳实施例的变化显示在图11与图12。在第一栅结构102图案化之后,但是在栅侧壁子形成之前,应力可以透过下列步骤产生:预非晶化栅电极104的一上部分167以及源/漏极区要形成的区域;放上应力层168;以及对于上部分167进行一极速退火制程。另一个较佳实施例的变化显示在图12,其中,应力是产生并存放在栅侧壁子106形成之后。在两个实施例中,结晶都可以透更多的退火步骤来达成。之后,应力层168都可以去除。
虽然本发明已通过较佳实施例说明如上,但该较佳实施例并非用以限定本发明。本领域的技术人员,在不脱离本发明的精神和范围内,应有能力对该较佳实施例做出各种更改和补充,因此本发明的保护范围以权利要求书的范围为准。
附图中符号的简单说明如下:
栅侧壁子:9、106、206
沟道区:11、152、252
CES层:14、148
源/漏极区:12、108、208
LDD区:15、105、205
基底:40
第一元件区:100
第一栅结构:102
栅介电层:103、203
栅电极:104、204
上部分:120、124、167、220、224
预非晶化注入:125
应力盖层:126、1261、1262、164
极速退火制程:127
金属硅化物区:146、246
ILD层:150
第一MOS元件:160
非晶硅层:162
应力层:168
栅电极层:180
第二元件区:200
第二栅结构:202
掩膜层:222、229
第二MOS元件:260

Claims (20)

1.一种形成半导体结构的方法,其特征在于,所述形成半导体结构的方法包含有:
提供一基底;
形成一栅电极于该基底上;
形成一源/漏极区于该基底;
形成一非晶区于该栅电极与该源/漏极区的一上部分;
形成一应力盖层于该非晶区上;
对该非晶区进行极速退火,并使该非晶区结晶;以及
大致移除全部的该应力盖层。
2.根据权利要求1所述的形成半导体结构的方法,其特征在于,该非晶区是形成于该源/漏极区中。
3.根据权利要求2所述的形成半导体结构的方法,其特征在于,形成该源/漏极区的步骤是以一离子注入制程进行,且该离子注入制程形成该非晶区。
4.根据权利要求2所述的形成半导体结构的方法,其特征在于,形成该非晶区的步骤是包含有一预非晶化注入。
5.根据权利要求1所述的形成半导体结构的方法,其特征在于,该栅电极包含有硅,且该非晶区是位于该栅电极中。
6.根据权利要求1所述的形成半导体结构的方法,其特征在于,于移除全部的该应力盖层的步骤前,另包含有一额外的退火步骤。
7.根据权利要求1所述的形成半导体结构的方法,其特征在于,另包含有:
形成一栅侧壁子于该栅电极的一侧壁;
形成一金属硅化物区于该源/漏极区;
形成一接触蚀刻停止层于该源/漏极区与该栅电极上;以及
形成一层间介电层于该接触蚀刻停止层上。
8.一种形成半导体元件的方法,其特征在于,所述形成一半导体元件的方法包含有:
提供一基底,具有一第一元件区;
对该第一元件区中的一源/漏极区进行离子注入;
形成一应力盖层于该源/漏极区上;
对该源/漏极区进行极速退火,并使该源/漏极区结晶;以及
大致移除全部的该应力盖层。
9.根据权利要求8所述的形成半导体元件的方法,其特征在于,该极速退火是以一高能量的放射源对该基底曝照。
10.根据权利要求8所述的形成半导体元件的方法,其特征在于,该极速退火的处理时间是介于约1皮秒到约1秒之间。
11.根据权利要求8所述的形成半导体元件的方法,其特征在于,另包含有预非晶化该源/漏极区的一上部分。
12.根据权利要求8所述的形成半导体元件的方法,其特征在于,于移除全部的该应力盖层的步骤前,另包含有一额外的退火步骤。
13.根据权利要求8所述的形成半导体元件的方法,其特征在于,另包含有:
形成一多晶硅栅电极层于该第一元件区;
预非晶化该多晶硅栅电极层的一上部分;
形成该应力盖层于该多晶硅栅电极层上;
对该多晶硅栅电极层进行极速退火,并使该多晶硅栅电极层结晶;以及
于大致移除全部的该应力盖层的步骤后,图案化该多晶硅栅电极层,以形成一栅电极。
14.根据权利要求8所述的形成半导体元件的方法,其特征在于,另包含有:
形成一多晶硅栅电极层于该第一元件区;
图案化该多晶硅栅电极层,以形成一栅电极;
预非晶化该栅电极的一上部分;
形成该应力盖层于该栅电极上;
于大致移除全部的该应力盖层的步骤前,对该栅电极进行极速退火,并使该栅电极结晶。
15.根据权利要求14所述的形成半导体元件的方法,其特征在于,于大致移除全部的该应力盖层的步骤后,另包含有:
形成一栅侧壁子于该栅电极的一侧壁。
16.根据权利要求14所述的形成半导体元件的方法,其特征在于,于大致移除全部的该应力盖层的步骤前,另包含有:
形成一栅侧壁子于该栅电极的一侧壁。
17.根据权利要求8所述的形成半导体元件的方法,其特征在于,该基底另包含有一第二元件区,且该第二元件区于该源/漏极区进行该离子注入步骤、以及该极速退火与重结晶步骤时,该第二元件区是被遮住。
18.一种形成半导体结构的方法,其特征在于,所述形成半导体结构的方法包含有:
提供一基底,具有一第一以及一第二元件区;
形成一第一栅介电层于该第一元件区的该基底上,并形成一第一栅电极于该第一栅介电层上;
形成一第二栅介电层于该第二元件区的该基底上,并形成一第二栅电极于该第二栅介电层上;
形成一第一源/漏极区于该第一元件区;
形成一第二源/漏极区于该第二元件区;
预非晶化该第一源/漏极区以及该第一栅电极;
形成一第一应力盖层于该第一源/漏极区以及该第一栅电极上;
对该第一源/漏极区以及该第一栅电极进行极速退火,并使该第一源/漏极区以及该第一栅电极结晶;以及
移除该第一应力盖层。
19.根据权利要求18所述的形成半导体结构的方法,其特征在于,于对该第一源/漏极区以及该第一栅电极进行该极速退火以及该结晶之前,另包含有一步骤,遮住该第二元件区。
20.根据权利要求18所述的形成半导体结构的方法,其特征在于,另包含有:
预非晶化该第二源/漏极区以及该第二栅电极;
形成一第二应力盖层于该第二源/漏极区以及该第二栅电极上,其中,该第二应力盖层与该第一应力盖层的应力不同;
对该第二源/漏极区以及该第二栅电极进行极速退火,并使该第二源/漏极区以及该第二栅电极结晶;以及
移除该第二应力盖层。
CNB2005101365757A 2005-07-06 2005-12-30 形成半导体结构的方法 Active CN100477128C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/175,563 2005-07-06
US11/175,563 US20070010073A1 (en) 2005-07-06 2005-07-06 Method of forming a MOS device having a strained channel region

Publications (2)

Publication Number Publication Date
CN1892998A true CN1892998A (zh) 2007-01-10
CN100477128C CN100477128C (zh) 2009-04-08

Family

ID=37597699

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005101365757A Active CN100477128C (zh) 2005-07-06 2005-12-30 形成半导体结构的方法

Country Status (2)

Country Link
US (1) US20070010073A1 (zh)
CN (1) CN100477128C (zh)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7795119B2 (en) 2007-07-17 2010-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Flash anneal for a PAI, NiSi process
CN101617408B (zh) * 2007-02-20 2011-12-21 佳能株式会社 薄膜晶体管制造方法和显示装置
CN102376575A (zh) * 2010-08-16 2012-03-14 中国科学院微电子研究所 Mos晶体管源漏应力区的形成方法及mos晶体管制作方法
CN102420138A (zh) * 2010-09-25 2012-04-18 中芯国际集成电路制造(上海)有限公司 晶体管的制作方法
CN102487005A (zh) * 2010-12-01 2012-06-06 中芯国际集成电路制造(上海)有限公司 增强n沟道电子活性的方法
CN102637642A (zh) * 2011-02-12 2012-08-15 中芯国际集成电路制造(上海)有限公司 Cmos器件的制作方法
CN102054695B (zh) * 2009-10-29 2012-11-28 中芯国际集成电路制造(上海)有限公司 提高半导体元器件的性能的方法
CN102867784A (zh) * 2011-07-06 2013-01-09 台湾积体电路制造股份有限公司 具有位错结构的半导体器件及其形成方法
CN102983104A (zh) * 2011-09-07 2013-03-20 中芯国际集成电路制造(上海)有限公司 Cmos晶体管的制作方法
CN103165536A (zh) * 2011-12-13 2013-06-19 台湾积体电路制造股份有限公司 栅极边缘位错的夹断控制
CN103377933A (zh) * 2012-04-23 2013-10-30 中芯国际集成电路制造(上海)有限公司 Mos晶体管的制造方法
CN103377935A (zh) * 2012-04-23 2013-10-30 中芯国际集成电路制造(上海)有限公司 Mos晶体管的制造方法
CN103489781A (zh) * 2012-06-13 2014-01-01 中芯国际集成电路制造(上海)有限公司 一种采用应力记忆技术制造半导体器件的方法
CN104517846A (zh) * 2013-09-27 2015-04-15 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN106783557A (zh) * 2016-11-30 2017-05-31 上海华力微电子有限公司 多重图形化掩膜的制备方法
CN114023651A (zh) * 2021-10-21 2022-02-08 上海华力集成电路制造有限公司 Nmos晶体管的制备方法

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7429775B1 (en) 2005-03-31 2008-09-30 Xilinx, Inc. Method of fabricating strain-silicon CMOS
US7423283B1 (en) 2005-06-07 2008-09-09 Xilinx, Inc. Strain-silicon CMOS using etch-stop layer and method of manufacture
KR100677977B1 (ko) * 2005-07-07 2007-02-02 동부일렉트로닉스 주식회사 Mos 제조 방법
DE102005041225B3 (de) * 2005-08-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren
US7655991B1 (en) * 2005-09-08 2010-02-02 Xilinx, Inc. CMOS device with stressed sidewall spacers
US7936006B1 (en) 2005-10-06 2011-05-03 Xilinx, Inc. Semiconductor device with backfilled isolation
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
US20070224785A1 (en) * 2006-03-21 2007-09-27 Liu Mark Y Strain-inducing film formation by liquid-phase epitaxial re-growth
US8294224B2 (en) * 2006-04-06 2012-10-23 Micron Technology, Inc. Devices and methods to improve carrier mobility
DE102006019936B4 (de) * 2006-04-28 2015-01-29 Globalfoundries Inc. Halbleiterbauelement mit unterschiedlich verspannten Ätzstoppschichten in Verbindung mit PN-Übergängen unterschiedlicher Gestaltung in unterschiedlichen Bauteilgebieten und Verfahren zur Herstellung des Halbleiterbauelements
US20080057636A1 (en) * 2006-08-31 2008-03-06 Richard Lindsay Strained semiconductor device and method of making same
TW200816312A (en) * 2006-09-28 2008-04-01 Promos Technologies Inc Method for forming silicide layer on a silicon surface and its use
DE102006046363B4 (de) * 2006-09-29 2009-04-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verringern von Kristalldefekten in Transistoren mit wieder aufgewachsenen flachen Übergängen durch geeignetes Auswählen von Kristallorientierungen
DE102006051494B4 (de) * 2006-10-31 2009-02-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden einer Halbleiterstruktur, die einen Feldeffekt-Transistor mit verspanntem Kanalgebiet umfasst
US20080119025A1 (en) * 2006-11-21 2008-05-22 O Sung Kwon Method of making a strained semiconductor device
US20080206973A1 (en) * 2007-02-26 2008-08-28 Texas Instrument Inc. Process method to optimize fully silicided gate (FUSI) thru PAI implant
US7659171B2 (en) * 2007-09-05 2010-02-09 International Business Machines Corporation Methods and structure for forming self-aligned borderless contacts for strain engineered logic devices
US8466508B2 (en) * 2007-10-03 2013-06-18 Macronix International Co., Ltd. Non-volatile memory structure including stress material between stacked patterns
US20090095991A1 (en) * 2007-10-11 2009-04-16 International Business Machines Corporation Method of forming strained mosfet devices using phase transformable materials
US7767537B2 (en) * 2007-10-17 2010-08-03 International Business Machines Corporation Simplified method of fabricating isolated and merged trench capacitors
DE102007057687B4 (de) * 2007-11-30 2010-07-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer Zugverformung in Transistoren
DE102007063230B4 (de) * 2007-12-31 2013-06-06 Advanced Micro Devices, Inc. Halbleiterbauelement mit verspannten Materialschichten und Kontaktelement sowie Herstellungsverfahren hierfür
US20090176356A1 (en) * 2008-01-09 2009-07-09 Advanced Micro Devices, Inc. Methods for fabricating semiconductor devices using thermal gradient-inducing films
DE102008011931B4 (de) * 2008-02-29 2010-10-07 Advanced Micro Devices, Inc., Sunnyvale Verringerung der Speicherinstabilität durch lokale Anpassung der Rekristallisierungsbedingungen in einem Cache-Bereich eines Halbleiterbauelements
DE102008035816B4 (de) * 2008-07-31 2011-08-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials
US8173503B2 (en) * 2009-02-23 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Fabrication of source/drain extensions with ultra-shallow junctions
US8080454B2 (en) * 2009-10-26 2011-12-20 United Microelectronics Corp. Method of fabricating CMOS transistor
US9202913B2 (en) * 2010-09-30 2015-12-01 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor structure
WO2013085536A1 (en) * 2011-12-09 2013-06-13 Intel Coporation Method for forming superactive deactivation-resistant junctions with laser anneal and multiple implants
KR102251061B1 (ko) 2015-05-04 2021-05-14 삼성전자주식회사 변형된 채널층을 갖는 반도체 소자 및 그 제조 방법
FR3048816B1 (fr) * 2016-03-09 2018-04-13 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de fabrication d'un dispositif avec transistor nmos contraint en tension et transistor pmos contraint en compression uni-axiale

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5066610A (en) * 1987-11-20 1991-11-19 Massachusetts Institute Of Technology Capping technique for zone-melting recrystallization of insulated semiconductor films
US5298441A (en) * 1991-06-03 1994-03-29 Motorola, Inc. Method of making high transconductance heterostructure field effect transistor
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5610088A (en) * 1995-03-16 1997-03-11 Advanced Micro Devices, Inc. Method of fabricating field effect transistors having lightly doped drain regions
JP4258034B2 (ja) * 1998-05-27 2009-04-30 ソニー株式会社 半導体装置及び半導体装置の製造方法
US6294448B1 (en) * 2000-01-18 2001-09-25 Taiwan Semiconductor Manufacturing Company Method to improve TiSix salicide formation
US6335249B1 (en) * 2000-02-07 2002-01-01 Taiwan Semiconductor Manufacturing Company Salicide field effect transistors with improved borderless contact structures and a method of fabrication
US6365446B1 (en) * 2000-07-03 2002-04-02 Chartered Semiconductor Manufacturing Ltd. Formation of silicided ultra-shallow junctions using implant through metal technology and laser annealing process
JP2002043576A (ja) * 2000-07-24 2002-02-08 Univ Tohoku 半導体装置
US6638838B1 (en) * 2000-10-02 2003-10-28 Motorola, Inc. Semiconductor structure including a partially annealed layer and method of forming the same
US6391731B1 (en) * 2001-02-15 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Activating source and drain junctions and extensions using a single laser anneal
US6724008B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US20020192914A1 (en) * 2001-06-15 2002-12-19 Kizilyalli Isik C. CMOS device fabrication utilizing selective laser anneal to form raised source/drain areas
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6680250B1 (en) * 2002-05-16 2004-01-20 Advanced Micro Devices, Inc. Formation of deep amorphous region to separate junction from end-of-range defects
US6689671B1 (en) * 2002-05-22 2004-02-10 Advanced Micro Devices, Inc. Low temperature solid-phase epitaxy fabrication process for MOS devices built on strained semiconductor substrate
US6858506B2 (en) * 2002-08-08 2005-02-22 Macronix International Co., Ltd. Method for fabricating locally strained channel
CN100437970C (zh) * 2003-03-07 2008-11-26 琥珀波系统公司 一种结构及用于形成半导体结构的方法
JP4789421B2 (ja) * 2003-03-12 2011-10-12 三星電子株式会社 フォトン吸収膜を有する半導体素子及びその製造方法
JP2004311955A (ja) * 2003-03-25 2004-11-04 Sony Corp 超薄型電気光学表示装置の製造方法
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
TW200503061A (en) * 2003-06-30 2005-01-16 Adv Lcd Tech Dev Ct Co Ltd Crystallization method, crystallization apparatus, processed substrate, thin film transistor and display apparatus
US6916694B2 (en) * 2003-08-28 2005-07-12 International Business Machines Corporation Strained silicon-channel MOSFET using a damascene gate process
US6930007B2 (en) * 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
US7098119B2 (en) * 2004-05-13 2006-08-29 Taiwan Semiconductor Manufacturing Co. Ltd. Thermal anneal process for strained-Si devices
US7253071B2 (en) * 2004-06-02 2007-08-07 Taiwan Semiconductor Manufacturing Company Methods for enhancing the formation of nickel mono-silicide by reducing the formation of nickel di-silicide
US7129127B2 (en) * 2004-09-24 2006-10-31 Texas Instruments Incorporated Integration scheme to improve NMOS with poly cap while mitigating PMOS degradation
US20060172556A1 (en) * 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
US7528028B2 (en) * 2005-06-17 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Super anneal for process induced strain modulation

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101617408B (zh) * 2007-02-20 2011-12-21 佳能株式会社 薄膜晶体管制造方法和显示装置
US7795119B2 (en) 2007-07-17 2010-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Flash anneal for a PAI, NiSi process
CN102054695B (zh) * 2009-10-29 2012-11-28 中芯国际集成电路制造(上海)有限公司 提高半导体元器件的性能的方法
CN102376575A (zh) * 2010-08-16 2012-03-14 中国科学院微电子研究所 Mos晶体管源漏应力区的形成方法及mos晶体管制作方法
CN102420138A (zh) * 2010-09-25 2012-04-18 中芯国际集成电路制造(上海)有限公司 晶体管的制作方法
CN102487005A (zh) * 2010-12-01 2012-06-06 中芯国际集成电路制造(上海)有限公司 增强n沟道电子活性的方法
CN102637642A (zh) * 2011-02-12 2012-08-15 中芯国际集成电路制造(上海)有限公司 Cmos器件的制作方法
CN102637642B (zh) * 2011-02-12 2013-11-06 中芯国际集成电路制造(上海)有限公司 Cmos器件的制作方法
CN102867784A (zh) * 2011-07-06 2013-01-09 台湾积体电路制造股份有限公司 具有位错结构的半导体器件及其形成方法
CN102867784B (zh) * 2011-07-06 2015-03-11 台湾积体电路制造股份有限公司 具有位错结构的半导体器件及其形成方法
CN102983104A (zh) * 2011-09-07 2013-03-20 中芯国际集成电路制造(上海)有限公司 Cmos晶体管的制作方法
CN102983104B (zh) * 2011-09-07 2015-10-21 中芯国际集成电路制造(上海)有限公司 Cmos晶体管的制作方法
CN103165536A (zh) * 2011-12-13 2013-06-19 台湾积体电路制造股份有限公司 栅极边缘位错的夹断控制
CN103165536B (zh) * 2011-12-13 2016-08-03 台湾积体电路制造股份有限公司 栅极边缘位错的夹断控制
CN103377933A (zh) * 2012-04-23 2013-10-30 中芯国际集成电路制造(上海)有限公司 Mos晶体管的制造方法
CN103377935B (zh) * 2012-04-23 2016-08-03 中芯国际集成电路制造(上海)有限公司 Mos晶体管的制造方法
CN103377935A (zh) * 2012-04-23 2013-10-30 中芯国际集成电路制造(上海)有限公司 Mos晶体管的制造方法
CN103489781A (zh) * 2012-06-13 2014-01-01 中芯国际集成电路制造(上海)有限公司 一种采用应力记忆技术制造半导体器件的方法
CN104517846A (zh) * 2013-09-27 2015-04-15 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN104517846B (zh) * 2013-09-27 2018-06-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN106783557A (zh) * 2016-11-30 2017-05-31 上海华力微电子有限公司 多重图形化掩膜的制备方法
CN106783557B (zh) * 2016-11-30 2019-11-26 上海华力微电子有限公司 多重图形化掩膜的制备方法
CN114023651A (zh) * 2021-10-21 2022-02-08 上海华力集成电路制造有限公司 Nmos晶体管的制备方法

Also Published As

Publication number Publication date
US20070010073A1 (en) 2007-01-11
CN100477128C (zh) 2009-04-08

Similar Documents

Publication Publication Date Title
CN1892998A (zh) 形成半导体结构或元件的方法
CN1881563A (zh) 半导体结构以及形成半导体晶体管的方法
CN1293637C (zh) 具有应变沟道的互补式金属氧化物半导体及其制作方法
CN2726123Y (zh) 半导体组件
US7482211B2 (en) Junction leakage reduction in SiGe process by implantation
CN100345280C (zh) 具有晶格不相称区的变形沟道晶体管结构及其制造方法
JP5235784B2 (ja) 半導体装置
CN1913175A (zh) 半导体元件及其形成方法
US20080085607A1 (en) Method for modulating stresses of a contact etch stop layer
CN1670965A (zh) 源极及漏极中聚含掺质金属的晶体管
CN101075562A (zh) 制造晶体管结构的方法
CN1897231A (zh) 半导体装置及其形成方法
CN1941387A (zh) 半导体结构及其制造方法
CN101055872A (zh) 半导体结构及其制造方法
CN1812101A (zh) 互补金属氧化物半导体及其形成方法
CN1976059A (zh) 鳍片型场效应晶体管结构以及用于制造这种结构的方法
CN101064310A (zh) 应用自对准双应力层的cmos结构和方法
WO2007064472A1 (en) Technique for reducing crystal defects in strained transistors by tilted preamorphization
CN1901225A (zh) 半导体器件及其制造方法
CN1976033A (zh) 半导体器件及其制造方法
JP2010062529A (ja) 半導体装置の製造方法
CN101079443A (zh) 半导体装置及其制作方法
US8450171B2 (en) Strained semiconductor device and method of making same
CN1679169A (zh) 半导体器件及其制造方法
JP2010287760A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant