CN1881563A - 半导体结构以及形成半导体晶体管的方法 - Google Patents

半导体结构以及形成半导体晶体管的方法 Download PDF

Info

Publication number
CN1881563A
CN1881563A CNA2005101240431A CN200510124043A CN1881563A CN 1881563 A CN1881563 A CN 1881563A CN A2005101240431 A CNA2005101240431 A CN A2005101240431A CN 200510124043 A CN200510124043 A CN 200510124043A CN 1881563 A CN1881563 A CN 1881563A
Authority
CN
China
Prior art keywords
stress
stressor layers
grid
element region
sidewall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005101240431A
Other languages
English (en)
Other versions
CN100378957C (zh
Inventor
梁孟松
陈建豪
聂俊峰
蔡邦彦
李资良
陈世昌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1881563A publication Critical patent/CN1881563A/zh
Application granted granted Critical
Publication of CN100378957C publication Critical patent/CN100378957C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Abstract

本发明提供一种半导体结构以及形成半导体晶体管的方法,该半导体结构包含有一基底、以及一应力层。该基底具有一第一元件区与一第二元件区。该应力层于该第一元件区与该第二元件区上。该应力层在该第一元件区内有一第一部分,具有一第一应力。该应力层在该第二元件区内有一第二部分,具有一第二应力。该第一跟该第二应力大致上不同。该第一跟该第二应力其中之一是由被一极速退火制程所产生,且该极速退火制程的热处理时间少于一秒。本发明所述的半导体结构以及形成半导体晶体管的方法,利用对于不同元件进行极速退火制程,就可以依照元件的需求,给予不同的应力。而且,以低温形成的侧壁子所带有的缺点也被克服了。

Description

半导体结构以及形成半导体晶体管的方法
技术领域
本发明是金属氧化物半导体(metal-oxide-semiconductor,MOS)元件,尤指是具有应力的沟道区的MOS元件与其相关制程。
背景技术
VLSI电路的缩小化是一个半导体业界不断追求的目标。当电路变的更小更快,元件的驱动电流的改善也更显得重要。元件电流大致上跟栅极的长度、栅极电容、还有载流子移动率(carriermobility)相关。较短的多晶硅栅极长度、较大的栅极电容、与较高的载流子移动率等都可以改善元件电流的表现。栅极长度的缩短可以透过元件尺寸的缩小而达成,这是业界持续努力的目标。栅极电容的增大也会随着栅介电层的变薄、栅介电常数的增加等来达成。为了改善元件电流的表现,也有许多的方法来增加载流子移动率。
在各种增加载流子移动率的方法当中,有一种已知的方法是形成一带有应力(strain or stress)的硅沟道。应力可以增强电子或是空穴的移动率。所以,MOS元件的特性就可以透过带有应力的沟道来改善。这样的技术,就可以在固定栅极长度的条件下,同时也没有增加电路设计的复杂度下,改善元件的特性。
当硅被施加压应力时,常温下、共平面(in-phase)的电子移动率就可以显著的增加。一种提供这样应力的方法是透过生长一比例渐进的SiGe外延层来达成。这样的比例渐进的SiGe外延层可以是一无应力的(relaxed)SiGe层。一层硅层接着形成在此无应力的SiGe层上。这样,此硅层中就会有应力,然后,MOS元件才形成在此硅层上。因为SiGe的晶格常数(lattice constant)大于硅的晶格常数,所以此硅层就会有双轴应力(biaxial tension),而其中的载流子就可以呈现出在应力下时的移动率来。
应力在一个元件中,可以依照方向的不同,有三个部分:平行于MOS元件沟道长度的部分,平行于MOS元件沟道宽度的部分,以及垂直于沟道平面的部分。如果应力的方向是平行沟道长度或是宽度,这样的应力称为“共平面”应力。研究有发现,属于共平面张(tensile)应力的双轴应力可以改善NMOS的效能,而平行于沟道方向的压(compressive)应力可以改善PMOS的效能。
应力也可以透过在MOS元件上形成一应力盖层(strainedcapping layer)来实现。譬如说,一接触蚀刻停止(contact etchstop,CES)层就可以当这样的应力盖层。当一应力盖层沉积时,因为应力盖层跟底下的物质之间晶格间隔距离的差异,为了要尝试去拉齐对齐彼此的晶格,共平面应力就会因而产生。图1显示了具有一应力沟道区的一传统MOS元件。应力盖层,如同侧壁子9与CES层14所示,可以对源/漏极区12(包含LDD区15)引入应力,而这样的应力可以导入沟道区11中。所以沟道区11中的载流子移动率就可以改善。
传统形成应力盖层的方法有不少的缺点,而且,其效果也受限于应力盖层本身的特性。譬如说,应力盖层的厚度不可以太厚,否则将增大后续填缝技术的困难度。因此,应力盖层所可以提供的应力就相当的有限。此外,要形成一个应力盖层来同时符合不同元件(譬如NMOS与PMOS)所要求的不同需求,往往是非常的复杂而且成本非常的高。这样制程所产生的应力是可以明显的影响晶体管元件的效能。目前,为了更好的效能,已经有许多的局部的机械应力控制技术被发展来增强载流子的移动率。譬如说,具有高应力的氮化硅层已经有被拿来当作CES层或是侧壁子,同时对于晶体管的沟道区提供强而有力的应力。然而,这些方法都受限于这些应力层的特性。而且,要沉积一高品质、具有高应力的应力层,也是一件困难的事。
因此,要如何改善一盖层,来提供MOS元件的沟道区适当的应力,并同时在没有增加太多的制程复杂度的条件之下,就成了一个迫切祈求的目标。
发明内容
本发明提供一种形成一半导体结构的方法。首先提供一基底,该基底包含有一第一元件区。接着形成一应力层于该基底上。然后,以一高能量的放射源对该基底曝照,且对于该第一元件区上的该应力层进行热退火处理,处理时间少于1秒。
本发明亦提供一种形成半导体晶体管的方法:提供一基底,包含有一主动区;形成一第一栅极于该主动区上;形成一应力层,该应力层具有一第一部分覆盖于该第一栅极上;以及,以一高能量的放射源对该基底曝照,且对于该应力层的该第一部分进行一第一热退火处理,处理时间少于1秒。
本发明所述的形成半导体晶体管的方法,该处理时间是介于约1皮秒(pico-second)到约1毫秒(milli-second)之间。
本发明所述的形成半导体晶体管的方法,其中,该放射源是为一激光或是一闪光灯。
本发明所述的形成半导体晶体管的方法,该放射源具有一波长,介于一纳米(nm)到一毫米(mm)之间。
本发明所述的形成半导体晶体管的方法,当进行该第一热退火处理时,该应力层的该第一部分所承受的温度是大约高于1000℃。
本发明所述的形成半导体晶体管的方法,形成该应力层的步骤包含有:形成一栅侧壁子层;以及于进行该第一热退火处理之前,蚀刻该栅侧壁子层,以形成一栅侧壁子。
本发明所述的形成半导体晶体管的方法,形成该应力层的步骤包含有:形成一栅侧壁子层;以及于进行该第一热退火处理之后,蚀刻该栅侧壁子层,以形成一栅侧壁子。
本发明所述的形成半导体晶体管的方法,形成该应力层的步骤包含有:形成一第二栅极于该基底上;其中,该应力层的一第二部分是覆盖于该第二栅极上,且于进行该第一热退火处理时,该应力层的该第二部分并没有被退火。
本发明的亦提供一半导体结构。该半导体结构包含有一基底、以及一应力层。该基底具有一第一元件区与一第二元件区。该应力层于该第一元件区与该第二元件区上。该应力层在该第一元件区内有一第一部分,具有一第一应力。该应力层在该第二元件区内有一第二部分,具有一第二应力。该第一跟该第二应力大致上不同。该第一跟该第二应力其中之一是由被一极速退火制程所产生,且该极速退火制程的热处理时间少于一秒。
本发明所述的半导体结构,该应力层包含一栅侧壁子。
本发明所述的半导体结构,该应力层包含一盖层。
本发明所述的半导体结构,该第一应力是为压应力,该第二应力是为张应力,其中,一NMOS元件是形成于该第一元件区,一PMOS元件形成于该第二元件区。
本发明所述的半导体结构以及半导体晶体管的形成方法,使MOS元件上的侧壁子、CES层、以及ILD层的应力都可以被调整,所以MOS元件中的沟道区的应力也跟着改善。此外,侧壁子的致密度改善了。利用对于不同元件进行极速退火制程,就可以依照元件的需求,给予不同的应力。而且,以低温形成的侧壁子所带有的缺点也被克服了。
附图说明
图1显示了具有一应力沟道区的一传统MOS元件;
图2到图10显示在不同制程步骤时,调整应力层的应力的方法所使用的晶圆剖面图。
具体实施方式
为使本发明的上述目的、特征和优点能更明显易懂,下文特举一较佳实施例,并配合所附图式,作详细说明如下:
一种在形成应力层之后,调整其应力的方法显示于图2到图10的图示中。在这些不同的图与实施例当中,一样的符号将用在一样的零件上。
请看图2,其中有显示了一基底40。基底40可以用一般熟知的基底材料,譬如说硅、SiGe、长在SiGe上带有应力的硅、绝缘层上覆硅(silicon on insulator,SOI)、绝缘层上覆硅锗(silicongermanium on insulator,SGOI)、绝缘层上覆锗(germaniumon insulator,GOI)等等。这样的基底40可以带有第一元件区100跟第二元件区200,用来形成不一样的逻辑元件。于一实施例中,元件区100跟200的其中之一用来形成PMOS;另一个用来形成NMOS。于其他一实施例中,元件区100跟200的其中之一是核心区(core region),用来形成核心元件(core device);另一个是周边(periphery)区,用来形成像输入输出(Input/output)元件。于其他一实施例中,元件区100跟200的其中之一是逻辑区(logic region),具有一逻辑电路;另一个是存储区(memory),具有存储元件(memory cell),譬如说,静态随机存取存储器(SRAM)或是动态随机存取存储器(DRAM)。
第一元件区100中形成了一第一栅结构,具有一栅介电层124以及一栅电极126。第二元件区200中形成了一第二栅结构,具有一栅介电层224以及一栅电极226。如同一般所熟知的,为了要形成这些栅结构,一栅介电层先形成在基底40上。这样的栅介电层最好是高介电常数(High K)的材料。一栅电极层,可以是多晶硅、金属、或是金属硅化物等,接着形成在栅介电层上。栅介电层跟栅电极层接着可以被图案化,来形成栅电极126与226、跟栅介电层124与224。可以接着在第一元件区100与第二元件区200中,注入适当的杂质来形成轻掺杂源/漏极区(lightly-dopedsource/drain region)128跟228。
图3显示了一栅侧壁子层42沉积在先前所完成的结构上。在此说明书中,栅侧壁子层42有时也会是一应力层。但是应力层也可能是、或是包含有其他层,譬如说后续形成的CES层或是层间介电(inter layer dielectric,ILD)层。而对于任何一应力层所使用的极速退火制程也可以适用到其他层。
在此实施例中,栅侧壁子层42为单一的一层,覆盖在第一元件区100跟第二元件区200上:在第一元件区100的栅侧壁子层标示为421,在第二元件区200的栅侧壁子层标示为422。栅侧壁子层42的材料可以是SiN、氮氧化硅(oxynitride)、氧化硅(oxide)等等,而且可以用传统的沉积方法形成,譬如等离子辅助化学气相沉积(plasma enhanced chemical vapor deposition,PECVD)、低压化学气相沉积(low pressure chemical vapordeposition,LP CVD)、快速热退火化学气相沉积(rapid thermalchemical vapor deposition,RTCVD)、原子层沉积(atomic layerdeposition,ALD)、物理气相沉积(physical vapor deposition,PVD)等。如同业界所知的,栅侧壁子层42的应力可以透过适当的材质选取以及形成的方法来调整。形成的方法中,可以调整的制程参数有温度、沉积速度、功率等等。本领域技术人员可以透过实验,发现这些制程参数跟一沉积层的应力的关系。在沉积之后,栅侧壁子层42具有一第一内在应力。
一掩膜层130接着覆盖在第一元件区100上,如同图4所示。在一实施例中,掩膜层130可以是一光致抗蚀剂层。掩膜层130可能是一光致抗蚀剂层、一抗反射层(anti-reflect coating,ARC)、一硬掩膜层、或者上述的组合层。
接着用一极速退火(super anneal)制程对于栅侧壁子层42进行热退火处理。处理方式可以是以一高能量的放射源,譬如激光或是闪光灯,在很短的时间内,来对基底40曝照。掩膜层130可以吸收或是反射放射源所放射出来的能量,借以保护其下的栅侧壁子层421,免于受到极速退火。因为温度的极速上升,暴露的栅侧壁子层422将会被热退火处理。该放射源的波长可以介于一纳米(nm)到一毫米(mm)之间。透过波长的选取,就可以控制基底40被热退火处理的深度。一般而言,波长越长,被热退火处理的深度越深。热退火处理时间最好少于1秒。在另一个实施例中,热退火处理时间介于约1皮秒(pico-second)到约1毫秒(milli-second)之间。热退火处理温度最好大约高于1000℃,可以透过调整高能量的放射源的能量来控制。在其他实施例中,此极速退火制程包含有一快速退火(flash anneal)。掩膜层130可以在极速退火制程后去除。
在栅侧壁子层422中的应力会受到极速退火制程的影响而调整。一般来说,当一层被极速退火制程热处理后,其中的应力应该会往张应力(tensile)方向变大。譬如说,如果压应力(compressive strain)是用负值表示,而张应力是用正值表示的话,那经过极速退火制程热处理后,那一层的应力的值就应该是增加的。实验显示了应力的增加量跟极速退火制程的能量基本上是呈现正相关:能量越高,应力增加量越大。因此,可以通过不同能量的极速退火制程,来调整一应力层其中的应力。
在极速退火制程后,栅侧壁子层422具有一第二内在应力。因为被遮住的栅侧壁子层421还是停留在有第一内在应力的状况下,所以,之后在第一元件区100跟第二元件区200中形成的侧壁子就会有不同的应力。譬如说,栅侧壁子层421可能有压应力,而因为被极速退火制程所影响,栅侧壁子层422具有张应力。在另一个实施例中,栅侧壁子层421与422都是有张应力,只是栅侧壁子层422中的张应力比较高。
图4显示仅仅有部分的应力层(譬如栅侧壁子层422)被热退火处理。这是一个非常有用的特征。因为不同的元件(譬如NMOS跟PMOS)所需要的应力,就可以分别的调整,而不会影响到其他的元件所要的应力。所以,本发明的实施例提供了一个非常具有弹性的方式来调整应力。
在第二元件区200被热处理后,也可以选择性的把第二元件区200遮住,对于栅侧壁子层421进行热处理(未显示)。然而,如果都是进行极速退火制程,这两个极速退火制程的制程参数,像是波长、能量等,最好是不一样。这样,栅侧壁子层421与422的内在应力才会不一样。
栅侧壁子层422最后会用来形成侧壁子。而栅侧壁子层422的密度会因为极速退火制程的影响,而变的比较致密。一般来说,在侧壁子形成之后到源/漏极区完成之前都会经历一些浸湿制程(wet dip process),像是一些清洗制程(cleaning process)。而这些浸湿制程的副作用,往往就是蚀刻到侧壁子。所以,往往导致了侧壁子的厚度上的控制问题,尤其是当对侧壁子的蚀刻率非常高的时候。变的比较致密的侧壁子可以降低后续浸湿制程所造成的影响,所以侧壁子的制作也会变的比较好控制。此外,因为极速退火制程是一个非常非常短时间的制程,尽管在对于栅侧壁子层42进行热处理时,底下的LDD区128跟228也很有可能会被同时热处理,LDD区128跟228中的杂质扩散应该是可以控制的很好。
在一实施例中,掩膜层130并没有形成。透过使用可以集中控制其放射线的放射源,譬如说光束大小范围可以调整的激光,就可以选择第一元件区100或是第二元件区200,来各别进行极速退火制程。
图5显示了侧壁子132跟232的形成,一般是经历了非等向性蚀刻。譬如说,反应离子蚀刻(reactive ion etching,RIE)可以移除在垂直表面上的栅侧壁子层42。所形成的侧壁子132、232就会有不同的内在应力,就可以分别对于其附近MOS元件的沟道区施以相对应的不同应力。
跟图5类似的结构也可以用不一样的制程流程来制作,如同图6与图7所示。图6显示图3中的结构在一后续步骤中的剖面图。在尚未经历极速退火制程之前,栅侧壁子层42就先蚀刻,所以侧壁子132与232有一样的内在应力。在图7中,一掩膜层140形成在基底40上,用来保护侧壁子132,而极速退火制程就只有对于侧壁子232进行热处理。掩膜层140最好跟图3中的掩膜层130类似。掩膜层140可以在稍候的制程去除。
图8显示了源/漏极区144与244以及金属硅化物层146与246的形成。源/漏极区144与244的表面可能比基底40的表面低或是高,低的话可以用蚀刻的技术,高的话可以用外延成长,相对应的后续形成的应力层也会变低或是变高。在一例子中,源/漏极区144与244是以离子注入的方式,将杂质注入基底40中而形成。侧壁子132以及232用来当作掩膜,所以源/漏极区144与244的边缘会跟侧壁子132以及232大致切齐。栅电极126与226最好也一起被离子注入,用以降低其电阻。
金属硅化物层146与246可以用自行校准硅化(Salicide)制程形成在源/漏极区144与244中。为了形成一金属硅化物,一薄薄的金属层,像是钴(cobalt)、镍(nickel)、钛(Titanium)等,先形成在元件上。然后,进行一退火制程,使得金属层跟底下相接触的硅产生反应,形成一金属硅化物在其间。没有起反应,多余的金属可以去除。
图9为接触蚀刻停止(contact etch stop,CES)层148形成之后的结构图。CES层148有第一部分1481与第二部分1482。CES层148可以有SiN、氮氧化硅(oxynitride)、氧化硅(oxide)等。在一实施例中,CES层148先整面的沉积上去,用的物质可以提供第一元件区100中的MOS元件所希望的应力。透过一掩膜层149的协助,在第二元件区200中的CES层1482可以用极速退火制程进行热处理,所以产生了适当的应力。在另一个实施例中,CES层1481经历了热处理,但是CES层1482被一掩膜层遮住。在另一个实施例中,CES层1481跟1482被不同的极速退火制程所处里,但是两个极速退火制程的制程参数不同。在极速退火制程之后,掩膜层149可以去除。
接着,如同图10所示,一层间介电(inter-layer dielectric,ILD)层150沉积在CES层148的表面上。ILD层150有第一部分1501与第二部分1502。类似先前的制程,一掩膜层152接着形成,用来覆盖第一元件区100。一极速退火制程接着进行,用来改变ILD层1502中的应力。
在前述的实施例中,应力层,包含有侧壁子232、CES层148跟ILD层150,是在不同的步骤中经历了极速退火制程。然而,极速退火制程是可以紧接着在侧壁子232、CES层148跟ILD层150各别完成之后就进行,或是两层、三层完成之后才进行。这样复合带有应力的侧壁子/CES层/ILD层的结构可以形成在不同的元件区域上,某些部分带有张应力,而某些部分,因为极速退火制程的影响,所带的应力会变成压应力。譬如说,第一元件区100中有一PMOS元件,第二元件区200中有一NMOS元件。在第一元件区100中的应力层,像是CES层1482跟/或ILD层1502,会有压应力。所以相对的PMOS沟道区就会有压应力。在第二元件区200中的应力层被极速退火制程所影响,所以产生了张应力。所以,所以相对的NMOS沟道区就会有张应力。
极速退火制程可以对整个晶圆进行,或是对晶圆上的特定部分进行。可以依照元件种类不同而进行,譬如说分成NMOS元件、PMOS元件、双极性互补MOS(bipolar complementary MOS,Bi-CMOS)元件、双极性面结型晶体管(bipolar junctiontransistor,BJT)、电容等等。也可以依照电路种类不同而进行,譬如说分成逻辑电路、高效能电路、低功率电路、SRAM、内建RAM、BJT、Bi-CMOS、射频(radio frequency,RF)电路、混合模式(mix-mode)电路等等。
以上的实施例有许多的好处。MOS元件上的侧壁子、CES层、以及ILD层的应力都可以被调整,所以MOS元件中的沟道区的应力也跟着改善。此外,侧壁子的致密度改善了。利用对于不同元件进行极速退火制程,就可以依照元件的需求,给予不同的应力。而且,以低温形成的侧壁子所带有的缺点也被克服了。
虽然本发明已通过较佳实施例说明如上,但该较佳实施例并非用以限定本发明。本领域的技术人员,在不脱离本发明的精神和范围内,应有能力对该较佳实施例做出各种更改和补充,因此本发明的保护范围以权利要求书的范围为准。
附图中符号的简单说明如下:
侧壁子:9
源/漏极区:12
CES层:14
LDD区:15
基底:40
栅侧壁子层:42、421、422
第一元件区:100
第二元件区:200
栅介电层:124、224
栅电极:126、226
轻掺杂源/漏极区:128、228
掩膜层:130、140、149、152
侧壁子:132、232
源/漏极区:144、244
金属硅化物层:146、246
CES层:148、1481、1482
ILD层:150、1501、1502

Claims (12)

1.一种形成半导体晶体管的方法,其特征在于,该方法包含有:
提供一基底,包含有一主动区;
形成一第一栅极于该主动区上;
形成一应力层,该应力层具有一第一部分覆盖于该第一栅极上;以及
以一高能量的放射源对该基底曝照,且对于该应力层的该第一部分进行一第一热退火处理,处理时间少于1秒。
2.根据权利要求1所述的形成半导体晶体管的方法,其特征在于,该处理时间是介于1皮秒到1毫秒之间。
3.根据权利要求1所述的形成半导体晶体管的方法,其特征在于,该放射源是为一激光或是一闪光灯。
4.根据权利要求1所述的形成半导体晶体管的方法,其特征在于,该放射源具有一波长,介于一纳米到一毫米之间。
5.根据权利要求1所述的形成半导体晶体管的方法,其特征在于,当进行该第一热退火处理时,该应力层的该第一部分所承受的温度是高于1000℃。
6.根据权利要求1所述的形成半导体晶体管的方法,其特征在于,形成该应力层的步骤包含有:
形成一栅侧壁子层;以及
于进行该第一热退火处理之前,蚀刻该栅侧壁子层,以形成一栅侧壁子。
7.根据权利要求1所述的形成半导体晶体管的方法,其特征在于,形成该应力层的步骤包含有:
形成一栅侧壁子层;以及
于进行该第一热退火处理之后,蚀刻该栅侧壁子层,以形成一栅侧壁子。
8.根据权利要求1所述的形成半导体晶体管的方法,其特征在于,形成该应力层的步骤包含有:
形成一第二栅极于该基底上;
其中,该应力层的一第二部分是覆盖于该第二栅极上,且于进行该第一热退火处理时,该应力层的该第二部分并没有被退火。
9.一半导体结构,其特征在于,该半导体结构包含有:
一基底,具有一第一元件区与一第二元件区;以及
一应力层,于该第一元件区与该第二元件区上;
其中,该应力层在该第一元件区内有一第一部分,具有一第一应力,该应力层在该第二元件区内有一第二部分,具有一第二应力,且该第一跟该第二应力不同;
其中,该第一跟该第二应力其中之一是由被一极速退火制程所产生,且该极速退火制程的热处理时间少于一秒。
10.根据权利要求9所述的半导体结构,其特征在于,该应力层包含一栅侧壁子。
11.根据权利要求9所述的半导体结构,其特征在于,该应力层包含一盖层。
12.根据权利要求9所述的半导体结构,其特征在于,该第一应力是为压应力,该第二应力是为张应力,其中,一N型金属氧化物半导体元件是形成于该第一元件区,一P型金属氧化物半导体元件形成于该第二元件区。
CNB2005101240431A 2005-06-17 2005-11-28 半导体结构以及形成半导体晶体管的方法 Expired - Fee Related CN100378957C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US69142205P 2005-06-17 2005-06-17
US60/691,422 2005-06-17
US11/199,011 2005-08-08

Publications (2)

Publication Number Publication Date
CN1881563A true CN1881563A (zh) 2006-12-20
CN100378957C CN100378957C (zh) 2008-04-02

Family

ID=37519684

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005101240431A Expired - Fee Related CN100378957C (zh) 2005-06-17 2005-11-28 半导体结构以及形成半导体晶体管的方法

Country Status (3)

Country Link
US (1) US7528028B2 (zh)
CN (1) CN100378957C (zh)
TW (1) TWI286358B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107690695A (zh) * 2015-06-09 2018-02-13 索泰克公司 用于制造包括用于俘获电荷的层的半导体元件的工艺

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7429775B1 (en) 2005-03-31 2008-09-30 Xilinx, Inc. Method of fabricating strain-silicon CMOS
US7423283B1 (en) 2005-06-07 2008-09-09 Xilinx, Inc. Strain-silicon CMOS using etch-stop layer and method of manufacture
US7528028B2 (en) 2005-06-17 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Super anneal for process induced strain modulation
US20070010073A1 (en) * 2005-07-06 2007-01-11 Chien-Hao Chen Method of forming a MOS device having a strained channel region
US7436169B2 (en) * 2005-09-06 2008-10-14 International Business Machines Corporation Mechanical stress characterization in semiconductor device
US7655991B1 (en) * 2005-09-08 2010-02-02 Xilinx, Inc. CMOS device with stressed sidewall spacers
FR2890782B1 (fr) * 2005-09-14 2008-02-29 St Microelectronics Crolles 2 Dispositif semi-conducteur comprenant au moins un transistor mos comprenant une couche d'arret de gravure et procede de fabrication correspondant.
US7936006B1 (en) 2005-10-06 2011-05-03 Xilinx, Inc. Semiconductor device with backfilled isolation
US8101485B2 (en) * 2005-12-16 2012-01-24 Intel Corporation Replacement gates to enhance transistor strain
US8294224B2 (en) * 2006-04-06 2012-10-23 Micron Technology, Inc. Devices and methods to improve carrier mobility
US7649239B2 (en) * 2006-05-04 2010-01-19 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
US7585720B2 (en) * 2006-07-05 2009-09-08 Toshiba America Electronic Components, Inc. Dual stress liner device and method
DE102006046381B4 (de) * 2006-09-29 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Verringerung der "Lackvergiftung" während der Strukturierung verspannter stickstoffenthaltender Schichten in einem Halbleiterbauelement
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
US20080185655A1 (en) * 2007-02-02 2008-08-07 United Microelectronics Corp. Smiconductor device, method for fabricating thereof and method for increasing film stress
US20080237659A1 (en) * 2007-03-27 2008-10-02 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US7846803B2 (en) * 2007-05-31 2010-12-07 Freescale Semiconductor, Inc. Multiple millisecond anneals for semiconductor device fabrication
US7795119B2 (en) * 2007-07-17 2010-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Flash anneal for a PAI, NiSi process
DE102007041220B3 (de) * 2007-08-31 2009-01-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Herstellen von Halbleiterbauelementen mit Feldeffekttransistoren, die seitlich von einem dielektrischen Zwischenschichtmaterial mit einer erhöhten kompressiven Verspannung umschlossen sind
US7985652B2 (en) * 2007-09-14 2011-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Metal stress memorization technology
US8466508B2 (en) * 2007-10-03 2013-06-18 Macronix International Co., Ltd. Non-volatile memory structure including stress material between stacked patterns
US7943961B2 (en) * 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
DE102008016426B4 (de) * 2008-03-31 2012-04-19 Globalfoundries Inc. Verfahren zum Erzeugen einer Zugverformung durch Anwenden von Verspannungsgedächtnistechniken in unmittelbarer Nähe zu der Gateelektrode
DE102008016438B4 (de) * 2008-03-31 2011-03-03 Advanced Micro Devices, Inc., Sunnyvale Doppelabscheidung einer verspannungsinduzierenden Schicht mit dazwischenliegender Verspannungsrelaxation
US7816243B2 (en) * 2009-02-18 2010-10-19 United Microelectronics Corp. Semiconductor device and method of fabricating the same
DE102009039420A1 (de) * 2009-08-31 2011-03-03 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verspannungseinstellung in verspannten dielektrischen Materialien von Halbleiterbauelementen durch Verspannungsrelaxation auf der Grundlage von Strahlung
KR101728288B1 (ko) 2011-12-30 2017-04-18 인텔 코포레이션 자기-폐쇄 비대칭 상호연결 구조
US8772938B2 (en) 2012-12-04 2014-07-08 Intel Corporation Semiconductor interconnect structures
US9496402B2 (en) * 2014-10-17 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate with silicon sidewall spacers

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4593306A (en) * 1983-02-24 1986-06-03 Battelle Development Corporation Information storage medium and method of recording and retrieving information thereon
US4690730A (en) * 1986-03-07 1987-09-01 Texas Instruments Incorporated Oxide-capped titanium silicide formation
US5066610A (en) 1987-11-20 1991-11-19 Massachusetts Institute Of Technology Capping technique for zone-melting recrystallization of insulated semiconductor films
US5298441A (en) 1991-06-03 1994-03-29 Motorola, Inc. Method of making high transconductance heterostructure field effect transistor
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5562770A (en) 1994-11-22 1996-10-08 International Business Machines Corporation Semiconductor manufacturing process for low dislocation defects
US5610088A (en) 1995-03-16 1997-03-11 Advanced Micro Devices, Inc. Method of fabricating field effect transistors having lightly doped drain regions
US5668387A (en) 1995-10-26 1997-09-16 Trw Inc. Relaxed channel high electron mobility transistor
EP0798765A3 (en) 1996-03-28 1998-08-05 Shin-Etsu Handotai Company Limited Method of manufacturing a semiconductor wafer comprising a dopant evaporation preventive film on one main surface and an epitaxial layer on the other main surface
JP4258034B2 (ja) 1998-05-27 2009-04-30 ソニー株式会社 半導体装置及び半導体装置の製造方法
JP2000243854A (ja) 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
US6348389B1 (en) 1999-03-11 2002-02-19 Taiwan Semiconductor Manufacturing Company Method of forming and etching a resist protect oxide layer including end-point etch
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US20020009842A1 (en) * 2000-01-03 2002-01-24 Ming-Tsung Tung High-voltage device and method for manufacturing high-voltage device
US6335249B1 (en) 2000-02-07 2002-01-01 Taiwan Semiconductor Manufacturing Company Salicide field effect transistors with improved borderless contact structures and a method of fabrication
US6969875B2 (en) 2000-05-26 2005-11-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6271054B1 (en) 2000-06-02 2001-08-07 International Business Machines Corporation Method for reducing dark current effects in a charge couple device
US6403482B1 (en) 2000-06-28 2002-06-11 International Business Machines Corporation Self-aligned junction isolation
US6365446B1 (en) 2000-07-03 2002-04-02 Chartered Semiconductor Manufacturing Ltd. Formation of silicided ultra-shallow junctions using implant through metal technology and laser annealing process
JP2002043576A (ja) 2000-07-24 2002-02-08 Univ Tohoku 半導体装置
JP3722029B2 (ja) 2000-09-12 2005-11-30 Hoya株式会社 位相シフトマスクブランクの製造方法、及び位相シフトマスクの製造方法
US6284610B1 (en) 2000-09-21 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Method to reduce compressive stress in the silicon substrate during silicidation
US6638838B1 (en) 2000-10-02 2003-10-28 Motorola, Inc. Semiconductor structure including a partially annealed layer and method of forming the same
JP2003086708A (ja) 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6444566B1 (en) 2001-04-30 2002-09-03 Taiwan Semiconductor Manufacturing Company Method of making borderless contact having a sion buffer layer
KR100456526B1 (ko) 2001-05-22 2004-11-09 삼성전자주식회사 식각저지막을 갖는 에스오아이 기판, 그 제조방법, 그위에 제작된 에스오아이 집적회로 및 그것을 사용하여에스오아이 집적회로를 제조하는 방법
US6645867B2 (en) 2001-05-24 2003-11-11 International Business Machines Corporation Structure and method to preserve STI during etching
KR100441682B1 (ko) 2001-06-14 2004-07-27 삼성전자주식회사 엘디디형 소오스/드레인 영역을 갖는 반도체 장치 및 그제조 방법
US20020192914A1 (en) 2001-06-15 2002-12-19 Kizilyalli Isik C. CMOS device fabrication utilizing selective laser anneal to form raised source/drain areas
KR100438772B1 (ko) 2001-08-07 2004-07-05 삼성전자주식회사 버블 디펙트를 방지할 수 있는 반도체 소자의 제조방법
JP4050028B2 (ja) 2001-09-28 2008-02-20 株式会社東芝 面発光型半導体発光素子
US6645853B1 (en) * 2001-12-05 2003-11-11 Advanced Micro Devices, Inc. Interconnects with improved barrier layer adhesion
US6492216B1 (en) 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6706576B1 (en) * 2002-03-14 2004-03-16 Advanced Micro Devices, Inc. Laser thermal annealing of silicon nitride for increased density and etch selectivity
US6858506B2 (en) 2002-08-08 2005-02-22 Macronix International Co., Ltd. Method for fabricating locally strained channel
US6573172B1 (en) 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
JP2004172389A (ja) 2002-11-20 2004-06-17 Renesas Technology Corp 半導体装置およびその製造方法
KR100480636B1 (ko) 2002-11-22 2005-03-31 삼성전자주식회사 반도체 장치의 제조방법
US7022561B2 (en) * 2002-12-02 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device
EP1602125B1 (en) 2003-03-07 2019-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation process
JP2004311955A (ja) 2003-03-25 2004-11-04 Sony Corp 超薄型電気光学表示装置の製造方法
US6882025B2 (en) 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
TW200503061A (en) 2003-06-30 2005-01-16 Adv Lcd Tech Dev Ct Co Ltd Crystallization method, crystallization apparatus, processed substrate, thin film transistor and display apparatus
US6890808B2 (en) 2003-09-10 2005-05-10 International Business Machines Corporation Method and structure for improved MOSFETs using poly/silicide gate height control
US6930007B2 (en) 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US6855982B1 (en) 2004-02-02 2005-02-15 Advanced Micro Devices, Inc. Self aligned double gate transistor having a strained channel region and process therefor
JP5095073B2 (ja) * 2004-04-28 2012-12-12 株式会社イー・エム・ディー 半導体物質の表面改質方法、半導体装置の製造方法
US7098119B2 (en) 2004-05-13 2006-08-29 Taiwan Semiconductor Manufacturing Co. Ltd. Thermal anneal process for strained-Si devices
US7119404B2 (en) * 2004-05-19 2006-10-10 Taiwan Semiconductor Manufacturing Co. Ltd. High performance strained channel MOSFETs by coupled stress effects
US7002209B2 (en) * 2004-05-21 2006-02-21 International Business Machines Corporation MOSFET structure with high mechanical stress in the channel
US7253071B2 (en) 2004-06-02 2007-08-07 Taiwan Semiconductor Manufacturing Company Methods for enhancing the formation of nickel mono-silicide by reducing the formation of nickel di-silicide
US7129127B2 (en) 2004-09-24 2006-10-31 Texas Instruments Incorporated Integration scheme to improve NMOS with poly cap while mitigating PMOS degradation
US7256112B2 (en) * 2005-01-20 2007-08-14 Chartered Semiconductor Manufacturing, Ltd Laser activation of implanted contact plug for memory bitline fabrication
US20060172556A1 (en) 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
US7265009B2 (en) * 2005-02-24 2007-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. HDP-CVD methodology for forming PMD layer
US20060270166A1 (en) * 2005-05-31 2006-11-30 Liang-Gi Yao Laser spike annealing for gate dielectric materials
US7528028B2 (en) 2005-06-17 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Super anneal for process induced strain modulation

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107690695A (zh) * 2015-06-09 2018-02-13 索泰克公司 用于制造包括用于俘获电荷的层的半导体元件的工艺
CN107690695B (zh) * 2015-06-09 2021-05-11 索泰克公司 半导体元件的制造方法

Also Published As

Publication number Publication date
CN100378957C (zh) 2008-04-02
US20060286758A1 (en) 2006-12-21
US7528028B2 (en) 2009-05-05
TWI286358B (en) 2007-09-01
TW200701370A (en) 2007-01-01

Similar Documents

Publication Publication Date Title
CN100378957C (zh) 半导体结构以及形成半导体晶体管的方法
CN100477128C (zh) 形成半导体结构的方法
JP5235784B2 (ja) 半導体装置
CN101675513B (zh) 高k栅极介电质互补金属氧化物半导体结构的阈值调整
US10490452B2 (en) Method for fabricating a semiconductor device
US6921691B1 (en) Transistor with dopant-bearing metal in source and drain
US7754570B2 (en) Semiconductor device
CN1263119C (zh) 用于生产cmos器件的方法
US7488670B2 (en) Direct channel stress
TWI388003B (zh) 半導體元件及其製造方法
US20150380519A1 (en) Semiconductor devices and fabrication method thereof
KR100868649B1 (ko) 반도체 소자 및 그의 제조방법
US20080315267A1 (en) Device Performance Improvement Using FlowFill as Material for Isolation Structures
KR20070087135A (ko) 반도체 장치 및 그 제조 방법
CN101051638A (zh) 半导体元件
US20100102399A1 (en) Methods of Forming Field Effect Transistors and Devices Formed Thereby
US8450171B2 (en) Strained semiconductor device and method of making same
JP2008283182A (ja) Pmosトランジスタ製造方法及びcmosトランジスタ製造方法
CN101038879A (zh) 半导体器件及其制造方法
US20120299113A1 (en) Semiconductor device and method for fabricating the same
CN1812060A (zh) 半导体器件的制造方法
CN102130057B (zh) 制作互补金属氧化物半导体器件的方法和结构
US10347493B2 (en) Transistor and fabrication method thereof
CN1906768A (zh) 半导体器件及其制造方法
CN102446761B (zh) 半导体结构的制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080402

CF01 Termination of patent right due to non-payment of annual fee