CN1802265B - 正性双层压印光刻法及其所用组合物 - Google Patents

正性双层压印光刻法及其所用组合物 Download PDF

Info

Publication number
CN1802265B
CN1802265B CN2004800080246A CN200480008024A CN1802265B CN 1802265 B CN1802265 B CN 1802265B CN 2004800080246 A CN2004800080246 A CN 2004800080246A CN 200480008024 A CN200480008024 A CN 200480008024A CN 1802265 B CN1802265 B CN 1802265B
Authority
CN
China
Prior art keywords
layer
substrate
sandwich construction
conformal layer
fluid composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN2004800080246A
Other languages
English (en)
Other versions
CN1802265A (zh
Inventor
S·V·斯里尼瓦桑
F·Y·徐
M·N·米勒
M·P·C·瓦茨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/789,319 external-priority patent/US7122079B2/en
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Priority claimed from PCT/US2004/008920 external-priority patent/WO2004088414A2/en
Publication of CN1802265A publication Critical patent/CN1802265A/zh
Application granted granted Critical
Publication of CN1802265B publication Critical patent/CN1802265B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Abstract

本发明提供了在基片上成图的方法和组合物,其特征在于通过在基片上形成具有突起和凹陷图案层的成图层来产生多层结构。在成图层上形成的是保形层,多层结构具有背向基片的冠形表面。多层结构的一些部分被除去,露出基片上正对突起的区域,同时在冠形表面正对凹陷的区域形成硬掩模。

Description

正性双层压印光刻法及其所用组合物
发明背景
本发明的领域总体上涉及结构的微制造。更具体地,本发明针对促进结构形成的基片的图案形成。
微制造涉及非常小的结构的制造,例如具有微米级或更小数量级的特征结构。微制造具有较大影响的一个领域是集成电路的加工。由于半导体加工工业继续寻求扩大产量,同时增加基片单位面积上形成的电路,所以微制造愈发变得重要。微制造可在更大程度上控制工艺过程,同时在更大程度上减小所形成结构的最小特征尺寸。得到开发的应用微制造技术的其他领域包括生物技术、光学技术、机械系统等。
微制造技术的一个例子见Willson等的美国专利6334960。Willson等公开了在结构中形成浮雕图像的方法。该方法包括提供具有转移层的基片。该转移层为可聚合流体组合物所覆盖。压印设备与可聚合流体机械接触。压印设备包含由平地和凹槽形成的浮雕结构。用可聚合流体组合物填充浮雕结构,叠加在平地上的可聚合流体的厚度定义为剩余厚度。然后将可聚合流体组合物置于适当条件下,使之发生固化和聚合,在转移层上形成固化的聚合材料,该转移层包含与压印设备相反的浮雕结构。将压印设备从固体聚合物材料上分离下来,这样就在固化的聚合物材料中形成了压印设备中所含浮雕结构的复制品。将转移层和固化的聚合物材料置于适当环境下,以便相对于固化的聚合物材料选择蚀刻转移层,这样就在转移层中形成了浮雕图像。然后可用传统蚀刻方法将浮雕结构图案转移到基片上。
希望尽可能减小记录在聚合物材料中的图案与转移到基片上的图案之间的尺寸差异,这种差异称作转移失真。人们为此作出了种种努力,试图改进Willson等的微制造技术。例如,曾经希望尽可能减小固化的聚合物材料的剩余厚度。剩余厚度越薄,转移失真越小。固化的聚合物材料的剩余厚度与可聚合流体的剩余厚度成正比。但是,可聚合流体填充浮雕结构的速率与可聚合流体剩余厚度的立方成反比。显然,使转移失真最小化增加了在基片中记录图案所需的时间。因此,在产出效率与转移失真最小化之间需要找到一个平衡点。
因此,希望既能提高微制造技术的产出效率,又尽可能减小利用这些技术形成图案时的转移失真度。
发明概述
本发明提供了在基片上形成图案的方法和组合物,该方法涉及通过在基片上形成具有突起和凹陷的成图层来产生多层结构。在成图层上形成的是保形层,具有冠形表面的多层结构背向基片。多层结构的一些部分被除去,露出基片上对着突起的区域,同时在冠形表面上对着凹陷的区域形成硬掩模。在一个示例性实施方式中,成图层由基本上不含硅的可聚合流体形成,也就是用压印光刻技术形成无硅聚合层。保形层由含硅聚合流体形成,具有背向所述基片的标准化表面。用毯式蚀刻(blanket etch)法去除部分含硅聚合层,限定冠形表面同时,露出无硅聚合物层中的突起。然后对冠形表面进行各向异性氧等离子体蚀刻,在冠形表面正对凹陷的区域产生硬掩模,同时除去突起和对着突起的无硅层片段,以露出基片。应用这种过程所带来的结果是,既缩短了成图时间,又能精确控制所形成图案的尺寸。下面介绍上述及其他实施方式。
附图简介
图1是本发明光刻系统的透视图。
图2是图1所示光刻系统的简化正视图,用来产生本发明的成图压印层。
图3是根据本发明聚合和交联之前包含在图2所示成图压印层中的材料的示意图。
图4是图3所示材料根据本发明经受辐射之后转化而成的交联聚合物材料的示意图。
图5是根据本发明成图后从图1所示成图压印层上拆开的压印设备的简化正视图。
图6是在图5所示固化压印层上形成多层结构的简化正视图,具体是根据本发明的一种实施方式,用模具在毗邻成图压印层的地方沉积保形层。
图7是图6所示多层结构经过毯式蚀刻后的简化正视图,根据本发明的一种实施方式,多层结构经过毯式蚀刻后在保形层中形成冠形表面,其部分成图压印层露出来。
图8是根据本发明使冠形表面受到各向异性蚀刻,令基片上的某些区域露出来后,图7所示多层结构的简化正视图。
图9是根据本发明另一种实施方式,沉积保形层之后多层结构的简化正视图。
图10是根据本发明的另一种实施方式,用平坦化模具对保形层进行平坦化处理之后的简化正视图。
图11是图1所示光刻系统中采用的辐射源的简化平面图,所示为双辐射源。
图12是图1所示光刻系统中采用的辐射源的简化平面图,所示为单辐射源。
图13是图1、2、5、6、7和8所示基片的截面图,示出了本发明的红外吸收层。
图14是图1、2、5、6、7和8所示基片的截面图,示出了本发明另一种实施方式中的红外吸收层。
图15是可用于本发明的隔离层和平坦化层的截面图。
图16是施加在图14所示平坦化模具上的隔离层的截面图。
发明详述
图1所示为本发明一种实施方式中的光刻系统10,它包含一对隔开的桥支撑体12,支撑体之间有一座桥14和平台支撑体16。桥14和平台支撑体16隔开。连在桥14上的是压印头18,压印头从桥14伸向平台支撑体16。安置在平台支撑体16上且面向压印头18的是运动台20。运动台20可沿平台支撑体16的X和Y轴运动,也可沿Z轴运动。辐射源22安装在系统10上,用来向运动台20上投射光化辐射。如图所示,辐射源22连接到桥14上,并包含一个连接到辐射源22上的发发电机23。
参见图1和2,连接到压印头18上的是拥有成图模具26的模板24。成图模具26包含许多特征结构,这种结构由许多彼此隔开的凹陷28和突起30组成。突起30的宽度为W1,凹陷的宽度为W2,二者均沿垂直于Z轴的方向测定。所述众多特征结构确定了初始图案,这种图案构成要转移到基片32中的的图案的基础,其中基片32固定在运动台20上。为此,使压印头18顺应沿Z轴移动,在成图模具26与基片32之间改变距离“d”。或者,运动台20可沿Z轴移动模板24,也可与压印头18一起移动。这样,成图模具26上的特征结构可压印到基片32上的可流动区域,下面将更详细地讨论。安装辐射源22时,使成图模具26位于辐射源22与基片32之间。结果,成图模具26由这样的材料制造,这种材料使模具基本上能透过辐射源22产生的辐射。一个示例性系统可以商品名IMPRIO 100TM购自Molecular Imprint s公司,该公司在1807-C Braker Lane,Suite 100,Austin,Texas 78758。IMPRIO 100TM的系统说明可在www.molecularimprints.com上找到,该说明在此引为参考。
参见图2和3,在表面36上基本平坦的部分设置可流动区域,如压印层34。在本实施方式中,可流动区域在基片32上沉积成材料40的许多分立小滴38,后面将更充分地讨论。材料40基本上不含硅,可有选择地聚合和交联,以记录初始图案的反图案,形成记录图案。材料40如图4所示,在点42处交联,形成交联聚合物材料44。
参见图2、3和5,记录在压印层34中的图案部分通过与成图模具26的机械接触而产生。为此,减小距离“d”,让压印层34与成图模具26实现机械接触,将小滴38铺开,这样形成的压印层34在表面36上相连地形成材料40。在一种实施方式中,减小距离“d”,让压印层34的次部分46进入并填充凹陷28。
在本实施方式中,当所需的,通常为最小的距离“d”达到以后,压印层34正对突起30的次部分48仍然保留,结果次部分46的厚度为t1,次部分48的厚度为t2。厚度t2称为剩余厚度。厚度“t1”和“t2”可以是任何所需厚度,具体取决于应用。小滴38所含总体积可满足这样的要求,即尽可能少地甚或避免让材料40伸出表面36上正对成图模具26的区域,同时获得所需厚度t1和t2。
参见图2、3和4,获得所需距离“d”后,辐射源22产生光化辐射,使材料40发生聚合和交联,形成交联的聚合物材料44。结果,压印层34的组分从材料40转化为材料44,后者是固体。具体说来,材料44固化形成固化的压印层134,压印层一个侧面的形状顺应成图模具26的表面50的形状,图5更清楚地显示了这一点。结果,形成具有凹陷52和突起54的固化压印层134。形成固化压印层134之后,增加距离“d”,使成图模具26与固化压印层134分开。一般地,重复此过程若干次,使基片32的不同区域(未示出)成图,称作分步重复方法。分步重复方法的一个实例见述于公开了的美国专利申请20040008334,它已授权与本发明的受让人,该文献在此引为参考。
参见图1、2和3,就所采用的独特沉积方法而言,材料40的特性对于让基片32有效成图来说非常重要。如上所述,材料40在基片32上沉积成许多分立小滴38。小滴38的总体积使得材料40适当分布在表面36上要形成压印层34的区域。这样,小滴38中压印材料40的总体积就决定了距离“d”。一旦达到所需距离“d”,成图模具26与基片32正对成图模具的那部分之间的间隙中,材料40所占总体积基本上等于小滴38中材料40的总体积。结果,压印层34铺展开并同时成图,所得图案接着通过辐射,如紫外辐射固化。为促进沉积过程,材料40宜具有特定的性质,使小滴38中的材料40在表面36上快速、均匀铺开,这样总厚度t1就基本上是均匀的,所有剩余厚度t2也基本上是均匀的。
适合用作材料40的一种组合物实例不含硅,含有以下组分:
组合物1
丙烯酸异冰片酯
丙烯酸正己酯
二丙烯酸乙二酯
2-羟基-2-甲基-1-苯基-1-丙酮
在组合物1中,丙烯酸异冰片酯约占组合物的55%,丙烯酸正己酯约占27%,二丙烯酸乙二酯约占15%,引发剂2-羟基-2-甲基-1-苯基-1-丙酮约占3%。引发剂由Tarrytown,New York的CIBA
Figure 10003_0
以商品名DAROCUR
Figure 10003_1
1173销售。上面确定的组合物还可包含化学领域熟知的稳定剂,以提高组合物的操作寿命。为提供合适的分离性质,组合物1可与模板一起采用,所述模板经处理后,有一个模塑表面,该模塑表面疏水和/或表面能低,例如它是一个先验的(apriori)分离层。
参见图3和5,为提高成图模具26和固化压印层134的分离性质,保证固化压印层134不黏着到成图模具26上,可在组合物1中加入添加剂。为此,材料40可包含一种表面活性剂作为添加剂。就本发明目的而言,表面活性剂定义为一端疏水的任何分子。表面活性剂可以含氟,例如包含一个氟链,也可以在整个表面活性剂分子结构中不含任何氟。表面活性剂的一个例子可以商品名ZONYL
Figure 10003_2
FSO-100购自DUPONTTM,其一般结构为R1R2,其中R1=F(CF2CF2)y,其中y在1-7之间(包括1和7),R2=CH2CH2O(CH2CH2O)xH,其中x在0-15之间(包括0和15)。这样就得到具有以下组成的材料40:
组合物2
丙烯酸异冰片酯
丙烯酸正己酯
二丙烯酸乙二酯
2-羟基-2-甲基-1-苯基-1-丙酮
RfCH2CH2O(CH2CH2O)xH
添加剂ZONYL
Figure 10003_3
FSO-100占组合物的1%以下,余下组分的相对含量同上面讨论组合物1时的情况。但是,ZONYL
Figure 10003_4
FSO-100所占百分数可以大于1%。
参见图5和6,为方便成图模具26中的图案转移到基片32上,在毗邻固化压印层134的地方通过形成含硅保形层58来产生多层结构56。为此,在毗邻固化压印层134的地方沉积含硅材料。具体说来,可用任何已知技术在毗邻固化压印层134的地方沉积含硅材料,形成保形层58,其中所用技术如上面讨论材料40的沉积时所提到的。或者,可用旋涂技术在毗邻固化压印层134的地方沉积含硅材料。
在形成保形层58的一个技术实例中,用旋涂技术在毗邻固化压印层134的地方沉积含硅材料,然后热固化含硅材料,形成保形层58。为此,可用来形成保形层的材料实例包括硅酮树脂、交联剂、催化剂和溶剂。
硅酮树脂具有加工适应性,满足所需的离子、纯度和副产物污染方面的要求。所加交联剂可使硅酮树脂发生交联,为保形层58提供在其上记录特征尺寸非常小的图案的性质,所述特征尺寸如几个纳米。为此,提供催化剂,在热能作用,如加热下引发缩合反应,使硅酮树脂和交联剂聚合并交联,形成交联聚合物材料。所选溶剂与硅酮树脂相容,它是含硅材料中余下的平衡物料。如果不能避免,溶剂也应尽可能少地引起固化压印层134变形,例如固化压印层134因膨胀而变形。
硅酮树脂可以是任何烷基和/或芳基取代聚硅氧烷、它们的共聚物、掺合物或混合物。硅酮树脂的例子包括经由硫醇烯(thiolene)化学,可通过紫外线(UV)固化的溶胶-凝胶、可UV固化的环氧硅酮、可UV固化的丙烯酸酯硅酮和可UV固化的硅酮;非固化材料,如含氢的硅倍半氧烷(silsesquioxane),和聚(甲基)丙烯酸酯/硅氧烷共聚物。含羟基官能团的聚硅氧烷宜用作这样的含羟基官能团的有机硅氧烷,有机硅氧烷的例子包括甲基硅氧烷、苯基硅氧烷、丙基硅氧烷和它们的混合物。硅酮树脂在含硅组合物中的含量约为2-40wt%,取决于保形层58所需的厚度。本发明所用含羟基官能团的聚硅氧烷的一个例子是硅T树脂中间体,可以商品名Z-6018购自Dow Corning
Figure 10003_5
(Midland,Michigan)。
交联剂是包含两个或多个可聚合基团的化合物。交联剂在含硅组合物中的含量约为2-50wt%(相对于硅酮树脂的含量)。典型的情况是,交联剂在含硅组合物中的含量约为20-30%。本发明所用交联剂的一个例子是基于六甲氧基甲基蜜胺(HMMM)的氨基塑料交联剂,可以商品名CYMEL 303ULF购自CytecIndustries,Inc.(West Paterson,New Jersey)。
催化剂可以是能够催化缩合反应的任何组分。合适的催化剂包括但不限于酸性化合物,如磺酸。催化剂在含硅材料中的含量约为0.05-5wt%(相对于硅酮树脂的含量)。一般地,催化剂在含硅材料中的含量约为1-2%。本发明所用催化剂的一个例子是甲苯磺酸,可以商品名CYCAT 4040购自CytecIndustries,Inc.(West Paterson,New Jersey)。
为了平衡组合物,可采用溶剂。溶剂可以是满足若干标准的任何溶剂或溶剂组合。如上所述,溶剂不应引起固化压印层134膨胀。此外,应当确立溶剂的蒸发速率,这样所需量的溶剂就因旋涂过程而蒸发掉,同时具有足够的黏度,以利于含硅材料的平坦化,促进保形层58的形成。合适的溶剂包括但不限于醇、醚、乙二醇或乙二醇醚、酮、酯、乙酸酯和它们的混合物。溶剂在用来形成保形层58的含硅材料中的含量约为60-98wt%,具体取决于保形层58所需厚度。本发明所用溶剂的一个例子是甲基戊基酮,可以商品名MAK购自AldrichCo.(St.Louis,Missouri)。
在另一种实施方式中,改变保形层58的组成,使之包含含有环氧基官能团的硅烷偶联剂,以促进交联反应,提高交联速率。含有环氧基官能团的硅烷的例子可包括环氧丙氧基甲基三甲氧基硅烷、3-环氧丙氧基丙基三羟基硅烷、3-环氧丙氧基丙基二甲基羟基硅烷、3-环氧丙氧基丙基三甲氧基硅烷、2,3-环氧丙基三甲氧基硅烷等。含有环氧官能团的硅烷在保形层58中约占含硅化合物的2-30wt%(相对于硅酮树脂),通常约占5-10%。本发明所用含有环氧官能团的硅烷的例子是γ-环氧丙氧基丙基三甲氧基硅烷,可以商品名A187购自GE Silicone/OSi Specialty(Wilton,CT)。
形成保形层58的组合物的例子如下:
组合物3
含羟基官能团的聚硅氧烷
六甲氧基甲基蜜胺
甲苯磺酸
甲基戊基酮
组合物4
含羟基官能团的聚硅氧烷
六甲氧基甲基蜜胺
γ-环氧丙氧基丙基三甲氧基硅烷
甲苯磺酸
甲基戊基酮
在组合物3中,含羟基官能团的聚硅氧烷约占组合物的4%,六甲氧基甲基蜜胺约占0.95%,甲苯磺酸约占0.05%,甲基戊基酮约占95%。在组合物4中,含羟基官能团的聚硅氧烷约占组合物的4%,六甲氧基甲基蜜胺约占0.7%,γ-环氧丙氧基丙基三甲氧基硅烷约占0.25%,甲苯磺酸约占0.05%,甲基戊基酮约占95%。
组合物3和4均至少占硅酮树脂的4%。但是,固化之后,硅酮在保形层58中的含量至少为5wt%,通常约为20%或更多。具体说来,溶剂在组合物3和4中的含量和组成需经过选择,使得大部分溶剂在将组合物3或4旋涂到固化压印层134上的过程中蒸发掉。在本含硅材料实例中,约90%的溶剂在旋涂过程中蒸发掉。含硅材料受热能作用后,剩余的10%溶剂蒸发,得到的保形层58中约含20wt%的硅。
形成保形层58的一个示例性方法包括旋涂约4mL含硅材料,沉积在靠近固化压印层134中心的地方。为此,将基片32放置在热板上,使基片32以1000转/分钟的速度旋转1分钟。然后,在150℃焙烧含硅材料1分钟,使之受热能作用。这样就得到了用来形成保形层58的含硅材料,厚度变化为20nm或更小。如果希望增加固化含硅层的厚度,例如提供厚200nm的固化含硅层,只需简单重复前述旋涂和固化过程。结果,所用溶剂需经挑选,不可去除(“洗掉”)固化好的保形层58中的含硅材料。
参见图5和6,在旋涂和固化过程中,保形层58包含第一和第二两个相对侧面。第一侧面60朝向压印层134,其轮廓与压印层134互补。第二侧面背向压印层134,形成标准化表面62,它基本上光滑,通常也是平坦的。这样,标准化表面62提供了固化保形层58,它具有基本上标准化的轮廓。据信,只要确保组合物3和4的玻璃转变温度低于固化温度,标准化表面62就可获得光滑的,例如基本平坦的形貌。具体说来,希望玻璃转变温度与固化温度之间存在充分的差异,以便使含硅材料在固化期间重新流动,使标准化表面62在最短的时间内达到最大光滑度,例如平整度。例如,组合物3和4的玻璃转变温度均约为50℃,固化温度为150℃。结果,在标准化表面62的形貌中,每个突起54的顶点64与标准化表面62之间的距离k2、k4、k6、k8和k10基本上相同。类似地,每个凹陷52的最低表面66与标准化表面62之间的距离k1、k3、k5、k7、k9和k11基本上相等。
参见图6和7,形成标准化表面62之后,用毯式蚀刻方法除去部分保形层58,以提供具有冠形表面70的多层结构56。举一个非限制性例子来说,毯式蚀刻可在购自Fremont,California,Lam Research,Inc.的LAM Research9400SE的系统中进行。这样,对标准化表面62进行各向同性卤素活性离子蚀刻(RIE),蚀刻剂富含氟,即至少一个前体是含氟材料,举一个非限制性例子来说,CHF3和O2的组合。其他合适的含卤化合物包括,举一个非限制性例子来说,CF4。等离子体化学成分中宜不含氧。然后对标准化表面62充分进行毯式蚀刻,以露出冠形表面70。
冠形表面70由每个突起54的外露表面72和毯式蚀刻后保留在保形层58上的部分74的上表面构成。保形层58的组成是这样的,当对保形层58进行毯式蚀刻时,冠形表面70就形成基本上平坦的轮廓。也就是说,突起54的厚度“a”基本上与部分74的厚度“b”相同。毯式蚀刻的一个例子是采用氟基蚀刻剂的等离子体蚀刻方法。
参见图7和8,对冠形表面70进行各向异性蚀刻。适当选择各向异性蚀刻的蚀刻剂,使突起54和压印层134正对突起54的片段的蚀刻程度最大,而使正对凹陷52的部分74的蚀刻程度最小。本例利用了压印层134与保形层58之间硅含量的差异。具体说来,采用各向异性等离子体蚀刻,例如用氧基蚀刻剂的RIE等离子体蚀刻,可在部分74上靠近冠形表面70的区域原位形成硬掩模76。这归因于含硅可聚合材料与氧等离子体的相互作用。由于硬掩模76和蚀刻过程的各向异性的影响,基片32正对突起54的区域78暴露出来。区域78的宽度U’任选等于宽度W2,如图2所示。
参见图2、7和8,本成图方法有多种优点。例如,部分74与外露面72之间相对蚀刻速率的差异有利于提供精确的蚀刻选择性。结果是,区域78的宽度U’可得到精确控制,由此减小图案形成到基片32上时的转移失真度。所得结构可用作掩模,以利于图案转移到基片32上。具体说来,硬掩模76和固化压印层134正对硬掩模的部分所提供的蚀刻差异将为毯式蚀刻提供蚀刻差异。这样,基片32上的区域78的蚀刻比基片32上正对硬掩模76的区域迅速。通过适当选择材料和蚀刻剂,最终转移到基片32上的不同特征结构之间的关联尺寸可根据需要得到控制。例如,研究发现,在氟蚀刻之后和氧蚀刻之前引入氧等离子体蚀刻是有好处的。具体说来,改进了氧等离子体蚀刻的蚀刻选择性。据信,标准化表面62上存在残余氟,氩蚀刻可除去残余氟,从而进一步减少了氧等离子体蚀刻期间存在的氟。
再来看图1、5和9,对宽度U’的控制变得相对不依赖于剩余厚度t2。可聚合流体填充模具26上图案的速率与剩余厚度t2的立方成反比。结果,剩余厚度t2经过适当选择后,可使产出效率最大,而基本上不增加转移失真度。使转移失真度不受剩余厚度t2影响有利于对非平整表面成图,而不增加转移失真。当模具26因外力作用而变形时,如为完成放大校正而改变模具26的尺寸时常发生的那样,这一点尤其有用。结果,模具成图压印层234中的变形常常导致这样的轮廓,其中各突起154的顶点172不共平面和/或各凹陷174的最低面152不共平面。
为减少由于这种轮廓而导致的转移失真,沉积保形层158,使每个突起154的顶点172与标准化表面162之间的距离ki满足以下参数关系:
|kimin-kimax|<t3
其中kimin是ki的最小值,kimax是ki的最大值,t3是从顶点172到最低面152之间测定的突起152的高度。因此,对由标准化表面162提供的标准化的约束可放松,不要求每个ki值都基本上相同。为此,保形层158可用旋涂技术或压印光刻技术形成。此后,用平台20沿Z轴移动基片132,将保形层158压向平整表面,如平坦化模具(未示出)。或者,可将平坦化模具(未示出)移向标准化表面162,或者二者同时移动。
最后,由基本无硅的可聚合流体形成成图压印层234使模具26的清洁过程容易得多,特别是考虑到模具26常由熔融氧化硅形成。
研究发现,当如图6所示形成保形层58时,如果要将10微米以下的特征结构转移到基片32中,则宜另外进行平坦化操作。为此,如图2和10所示,含硅材料可如同上面讨论保形层58的形成时那样旋涂上去,或者如同上面讨论压印层34那样沉积成许多小滴。含硅材料沉积之后,采用含有即使不平整也基本上光滑的表面82的平坦化模具80,使之与标准化表面62接触,然后固化保形层58中的含硅材料。这样,相对于固化压印层134,保形层58具有标准化表面。这一般通过提供光学平面来完成,所述光学平面具有足够的面积,可同时对基片32的所有区域进行平坦化,所述基片32包含含硅材料,用来形成标准化层58。此后,固化保形层58中的含硅材料,并从保形层58上分离平坦化模具80;可如上所述处理标准化表面62,使之成图,并将图案转移到基片32上。
参见图2、6和11,在形成标准化层58时,可能希望进行一步并重复平坦化过程。为此,可适当选择辐射源22,提供光化辐射,共同用红外(IR)辐射和紫外辐射完成交联。辐射源22的一个例子可包含多个辐射源,每个辐射源产生单波长范围的辐射,图中包含两个辐射源84和86。辐射源84可以是本领域已知的能够产生IR辐射的任何辐射源,辐射源86可以是本领域已知的能够产生使小滴38发生聚合和交联所用光化辐射,如UV辐射的辐射源。具体说来,辐射源84或86产生的辐射沿着光路88传播到基片32。一个电路(未示出)与辐射源84和86进行电连接,有选择地让UV和IR波谱中的辐射投射到基片32。
或者参见图12,辐射源22可包含能产生多个波长范围的单辐射源,经过有选择地控制,可让各波长范围的辐射依次或同时投射到基片32。辐射源22的一个实例由一个宽谱辐射源90组成,它能产生UV和IR辐射,由汞(Hg)灯组成。为有选择地让不同类型的辐射投射到基片32,采用一个滤波系统92。滤波系统92包含一个高通滤波器(未示出)和一个低通滤波器(未示出),每个滤波器与辐射源90光学相通。滤波系统92可把高通滤波器(未示出),安排在某位置使光路88包含IR辐射,也可把低通滤波器(未示出)安排在某位置使,光路88包含UV辐射。高通和低通滤波器(未示出)可以是本领域已知的任何滤波器,如含有两个半反射涂层且中间夹有间隔物的干涉滤波器。间隔物的折射率和厚度决定了选来通过干涉滤波器的频段。因此,为高通滤波器(未示出)和低通滤波器(未示出)选择具有合适折射率和厚度的间隔物,使高通滤波器(未示出)允许IR辐射通过,低通滤波器(未示出)允许UV辐射通过。一个处理器(未示出)与辐射源90和滤波系统92存在数据连接,有选择地让所需波长的辐射沿光路88传播。当需要IR辐射时,电路让高通滤波器(未示出)工作,当需要UV辐射时,电路让低通滤波器(未示出)工作。
参见图13,基片32可在沉积压印层34之前就已经存在一个或多个层。结果,加热含硅材料可能出现问题,因为形成晶片的材料和/或晶片上事先已经存在的层,例如固化压印层134,对红外辐射基本上没有反应。结果,发生转移的能量非常少,因而难以充分提高含硅材料的温度以完成交联。
为便于保形层58中含硅材料的交联,基片32所含层之一可以是红外吸收层94。吸收层94包含一种材料,当受到IR辐射作用时即受到激发,产生局部热源。一般地,吸收层94由加热过程中能保持稳定相态的材料形成,所述稳定相态可以是固相态。具体说来,投射到吸收层94的IR辐射使吸收层中包含的分子受到激发,产生热量。吸收层94中产生的热量通过传导经由晶片和/或晶生的插入材料层,例如吸收层94可位于表面36上,从而位于基片32和固化压印层134之间。转移到含硅材料上,结果,吸收层94和基片32提供了分叉热转移机制,该机制能够吸收IR辐射,产生局部热源,该热源可为保形层58中的含硅材料所感受。这样,吸收层94在表面36上产生了局部热源。为此,吸收层94可用任何已知技术沉积,包括旋涂、化学气相沉积、物理气相沉积、原子层沉积等。示例性材料可由碳基PVD涂料、含有碳黑填充剂的有机热固性涂料或二硫化钼(MoS2)基涂料形成。
参见图14,吸收层94可位于基片32上与固化压印层134相对的面上。结果,吸收层94可永久或临时固定。可用作吸收层94的示例性材料包括黑镍和阳极化黑铝。黑铬也可用作吸收层94。黑铬通常以氧化物的混合物形式沉积,用作太阳能电池的涂层。
此外,如图2所示,成图模具26可由任何材料制造,例如但不限于熔融氧化硅、石英、硅、有机聚合物、硅氧烷聚合物、硼硅酸盐玻璃、氟碳聚合物、金属和它们的组合。但是,在本实施方式中,光化辐射通过成图模具26传播。因此,成图模具26宜由基本上能透过光化辐射的材料制造。成图模具26上的许多特征结构在图中是凹陷28,这些凹陷沿平行于突起30的方向延伸,所得成图模具26的截面具有城垛一样的形状。但是,凹陷28和突起30实际上可以是形成集成电路所需的任何特征结构,可以小至十分之几纳米。
参见图2和15,类似地,宜为基片32提供平坦化表面,在该表面上形成压印层34。为此,可在基片32上形成底层96。当基片32的表面36与压印层34中形成的特征结构的尺寸相比较为粗糙时,底层96的益处就得到证实。此外,业已查明当在基片32上预先设置的成图层上形成压印层34时,沉积底层96具有益的。在各种功能中,底层96还可与压印层34形成标准界面,因而需要使每种方法适应形成基片32的材料的情况减少。此外,底层96可由蚀刻特性与压印层34相同的有机材料形成。底层96这样制造,使它具有连续、光滑、基本上没有缺陷的表面,该表面与压印层34具有优异的附着性能。用来形成底层96的一种示例性材料可以商品名DUV30J-6购自Brewer Science,Inc.,Rolla Missouri。
参见图5和15,为降低固化压印层134不附着到成图模具26上去的可能性,表面50可用低表面能涂料98处理。低表面能涂料98可用任何已知方法施涂。例如,处理技术可包括化学气相沉积法、物理气相沉积法、原子层沉积或其他各种技术,铜焊等。类似地,低表面能涂料198可施涂到平坦化模具94上,如图16所示。一般地,表面活性剂有一个相关表面能,它低于层中可聚合材料的表面能。Bender等在“基于UV的纳米压印光刻中的多重压印:相关材料问题”(MULTIPLE IMPRINTING IN UV-BASED NANOIMPRINT LITHOGRAPHY:RELATED MATERIAL ISSUES),Microelectronics Engeering,pp.61-62(2002)中讨论了形成前述表面活性剂的示例性材料和方法。表面活性剂的低表面能提供了所需的分离性质,减少了压印层34或保形层58对成图模具26的附着性能。应当理解,表面活性剂可与低表面能涂料98和198结合使用,或者代替低表面能涂料。
上述本发明的实施方式是示例性的。可对上面介绍的内容进行许多改变和改进,只要保持在本发明范围之内。因此,本发明的范围不是由上面的说明所界定,而是由所附的权利要求和它们的完全范围内的等价形式所界定。

Claims (8)

1.对基片成图的方法,所述方法包括:
通过在所述基片上形成具有突起和凹陷的成图层来产生多层结构,所述多层结构是如下产生的:在所述基片上沉积可聚合流体组合物并使所述可聚合流体组合物接触模具表面,使所述可聚合流体组合物置于合适条件下,聚合所述可聚合流体组合物,形成所述成图层,以及在所述成图层上形成保形层,所述多层结构具有背向所述基片的冠形表面;以及
选择性除去所述多层结构的一些部分,使所述基片与所述突起重叠的区域露出来,同时在所述冠形表面上与所述凹陷重叠的区域形成硬掩模。
2.权利要求1所述方法,其特征在于形成所述成图层还包括提供顶点和一定高度给所述突起,以及形成所述保形层还包括提供含有标准化表面的所述保形层,所述标准化表面与一个顶点间隔最小距离,与另一个顶点间隔最大距离,所述高度大于所述最大距离和所述最小距离之差。
3.权利要求1所述方法,其特征在于产生多层结构还包括由基本上不含硅的有机可聚合材料形成所述成图层,由含硅可聚合材料形成所述保形层。
4.权利要求1所述方法,其特征在于产生多层结构还包括由含有可聚合化合物的材料和表面能小于所述可聚合化合物的表面能的表面活性剂形成所述成图层。
5.权利要求1所述方法,其特征在于产生多层结构还包括通过在所述基片上沉积可聚合流体组合物并使所述可聚合流体组合物与具有基本平整的表面的模具接触来形成所述保形层,然后将所述可聚合流体组合物置于合适条件下,聚合所述可聚合流体组合物。
6.权利要求1所述方法,其特征在于形成所述保形层还包括在所述成图层上旋涂可聚合流体。
7.权利要求1所述方法,其特征在于它还包括在所述成图层与所述基片之间沉积底层。
8.权利要求1所述方法,其特征在于产生多层结构还包括形成所述保形层,保形层的一段具有与所述成图层相对并与所述突起隔开的标准化表面;还包括除去所述段的某些部分,露出所述突起,限定所述冠形表面,使所述冠形表面暴露于蚀刻试剂。
CN2004800080246A 2003-03-25 2004-03-24 正性双层压印光刻法及其所用组合物 Expired - Lifetime CN1802265B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10/396,615 US7179396B2 (en) 2003-03-25 2003-03-25 Positive tone bi-layer imprint lithography method
US10/396,615 2003-03-25
US10/789,319 2004-02-27
US10/789,319 US7122079B2 (en) 2004-02-27 2004-02-27 Composition for an etching mask comprising a silicon-containing material
PCT/US2004/008920 WO2004088414A2 (en) 2003-03-25 2004-03-24 Positive tone bi-layer imprint lithography method and compositions therefor

Publications (2)

Publication Number Publication Date
CN1802265A CN1802265A (zh) 2006-07-12
CN1802265B true CN1802265B (zh) 2011-10-26

Family

ID=32988807

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800080246A Expired - Lifetime CN1802265B (zh) 2003-03-25 2004-03-24 正性双层压印光刻法及其所用组合物

Country Status (2)

Country Link
US (2) US7179396B2 (zh)
CN (1) CN1802265B (zh)

Families Citing this family (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
TW571087B (en) * 2003-06-02 2004-01-11 Chen-Hung He Method and system for monitoring the mold strain in nanoimprint lithography technique
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) * 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) * 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20050253307A1 (en) * 2004-05-11 2005-11-17 Molecualr Imprints, Inc. Method of patterning a conductive layer on a substrate
US7002398B2 (en) * 2004-07-08 2006-02-21 Power Integrations, Inc. Method and apparatus for controlling a circuit with a high voltage sense device
US7241395B2 (en) * 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US7041604B2 (en) * 2004-09-21 2006-05-09 Molecular Imprints, Inc. Method of patterning surfaces while providing greater control of recess anisotropy
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
KR101357816B1 (ko) * 2004-11-24 2014-02-04 몰레큘러 임프린츠 인코퍼레이티드 몰드와 중합가능한 조성물 사이에 바람직한 특성을제공하는 방법 및 조성물
US7767129B2 (en) * 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7256131B2 (en) * 2005-07-19 2007-08-14 Molecular Imprints, Inc. Method of controlling the critical dimension of structures formed on a substrate
US8808808B2 (en) * 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8846195B2 (en) * 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US20070077763A1 (en) * 2005-09-30 2007-04-05 Molecular Imprints, Inc. Deposition technique to planarize a multi-layer structure
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
FR2894515B1 (fr) * 2005-12-08 2008-02-15 Essilor Int Procede de transfert d'un motif micronique sur un article optique et article optique ainsi obtenu
KR101201319B1 (ko) * 2005-12-20 2012-11-14 엘지디스플레이 주식회사 몰드를 이용한 패턴 형성방법
WO2007117524A2 (en) 2006-04-03 2007-10-18 Molecular Imprints, Inc. Method of concurrently patterning a substrate having a plurality of fields and alignment marks
US20070284779A1 (en) * 2006-06-13 2007-12-13 Wei Wu Imprint lithography apparatus and methods
US20110105366A1 (en) * 2007-06-18 2011-05-05 Illumina, Inc. Microfabrication methods for the optimal patterning of substrates
US8142702B2 (en) * 2007-06-18 2012-03-27 Molecular Imprints, Inc. Solvent-assisted layer formation for imprint lithography
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
US7795132B2 (en) * 2007-07-31 2010-09-14 Molecular Imprints, Inc. Self-aligned cross-point memory fabrication
US20090053535A1 (en) * 2007-08-24 2009-02-26 Molecular Imprints, Inc. Reduced Residual Formation in Etched Multi-Layer Stacks
US7906274B2 (en) * 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
SG185929A1 (en) * 2007-11-21 2012-12-28 Molecular Imprints Inc Porous template and imprinting stack for nano-imprint lithography
CN101952970A (zh) * 2007-11-28 2011-01-19 分子制模股份有限公司 纳米结构有机太阳能电池
US20090147237A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Spatial Phase Feature Location
US20090212012A1 (en) 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
US8136224B1 (en) 2008-05-15 2012-03-20 Western Digital (Fremont), Llc Method and system for providing a perpendicular magnetic recording head utilizing a mask having an undercut line
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100090341A1 (en) * 2008-10-14 2010-04-15 Molecular Imprints, Inc. Nano-patterned active layers formed by nano-imprint lithography
US8415010B2 (en) * 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US8652393B2 (en) 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US20100109205A1 (en) * 2008-11-04 2010-05-06 Molecular Imprints, Inc. Photocatalytic reactions in nano-imprint lithography processes
US20100109195A1 (en) 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
SG174889A1 (en) * 2009-03-23 2011-11-28 Intevac Inc A process for optimization of island to trench ratio in patterned media
US9164375B2 (en) 2009-06-19 2015-10-20 Canon Nanotechnologies, Inc. Dual zone template chuck
US8913230B2 (en) 2009-07-02 2014-12-16 Canon Nanotechnologies, Inc. Chucking system with recessed support feature
US20110031650A1 (en) 2009-08-04 2011-02-10 Molecular Imprints, Inc. Adjacent Field Alignment
US20110030770A1 (en) 2009-08-04 2011-02-10 Molecular Imprints, Inc. Nanostructured organic solar cells
NL2004945A (en) * 2009-08-14 2011-02-15 Asml Netherlands Bv Imprint lithography apparatus and method.
WO2011025522A1 (en) * 2009-08-26 2011-03-03 Molecular Imprints, Inc. Functional nanoparticles
US20110048518A1 (en) * 2009-08-26 2011-03-03 Molecular Imprints, Inc. Nanostructured thin film inorganic solar cells
US20110084417A1 (en) * 2009-10-08 2011-04-14 Molecular Imprints, Inc. Large area linear array nanoimprinting
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
US20110140304A1 (en) 2009-12-10 2011-06-16 Molecular Imprints, Inc. Imprint lithography template
US8616873B2 (en) * 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US8980751B2 (en) * 2010-01-27 2015-03-17 Canon Nanotechnologies, Inc. Methods and systems of material removal and pattern transfer
WO2011094015A1 (en) 2010-01-28 2011-08-04 Molecular Imprints, Inc. Solar cell fabrication by nanoimprint lithography
US8691134B2 (en) 2010-01-28 2014-04-08 Molecular Imprints, Inc. Roll-to-roll imprint lithography and purging system
JP2013517943A (ja) 2010-01-29 2013-05-20 モレキュラー・インプリンツ・インコーポレーテッド ナノ粒子を形成するためのナノインプリントリソグラフィプロセス
TW201144091A (en) * 2010-01-29 2011-12-16 Molecular Imprints Inc Ultra-compliant nanoimprint lithography templates
WO2011097514A2 (en) 2010-02-05 2011-08-11 Molecular Imprints, Inc. Templates having high contrast alignment marks
US20110193251A1 (en) * 2010-02-09 2011-08-11 Molecular Imprints, Inc. Process Gas Confinement for Nano-Imprinting
KR20130073890A (ko) 2010-04-27 2013-07-03 몰레큘러 임프린츠 인코퍼레이티드 나노임프린트 리소그래피를 위한 기판/주형의 분리 제어
US9070803B2 (en) 2010-05-11 2015-06-30 Molecular Imprints, Inc. Nanostructured solar cell
US9991407B1 (en) * 2010-06-22 2018-06-05 Banpil Photonics Inc. Process for creating high efficiency photovoltaic cells
US8541053B2 (en) 2010-07-08 2013-09-24 Molecular Imprints, Inc. Enhanced densification of silicon oxide layers
US8891080B2 (en) 2010-07-08 2014-11-18 Canon Nanotechnologies, Inc. Contaminate detection and substrate cleaning
WO2012040699A2 (en) 2010-09-24 2012-03-29 Molecular Imprints, Inc. High contrast alignment marks through multiple stage imprinting
EP2635522A4 (en) 2010-11-05 2014-06-11 Molecular Imprints Inc NANOPRÄGUNGS-LITHOGRAPHIEVERSTELLUNG FUNCTIONAL NANOPARTICLE WITH TWO SEPARATE LAYERS
US8828297B2 (en) 2010-11-05 2014-09-09 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
US8926888B2 (en) 2011-02-25 2015-01-06 Board Of Regents, The University Of Texas System Fluorinated silazane release agents in nanoimprint lithography
US8967992B2 (en) 2011-04-25 2015-03-03 Canon Nanotechnologies, Inc. Optically absorptive material for alignment marks
KR102149669B1 (ko) 2011-12-19 2020-09-01 몰레큘러 임프린츠 인코퍼레이티드 임프린트 리소그래피용 이음매 없는 대면적 마스터 템플릿의 제조
KR102170524B1 (ko) 2013-03-15 2020-10-27 캐논 나노테크놀로지즈 인코퍼레이티드 금속 또는 산화물 코팅을 가진 재사용가능한 중합체 주형을 사용한 나노 임프린팅
US8895453B2 (en) * 2013-04-12 2014-11-25 Infineon Technologies Ag Semiconductor device with an insulation layer having a varying thickness
US9651862B2 (en) 2013-07-12 2017-05-16 Canon Nanotechnologies, Inc. Drop pattern generation for imprint lithography with directionally-patterned templates
SG11201603475RA (en) 2013-11-08 2016-05-30 Canon Nanotechnologies Inc Low contact imprint lithography template chuck system for improved overlay correction
CN105793777B (zh) 2013-12-10 2020-02-18 佳能纳米技术公司 压印光刻术模板和用于零间隙压印的方法
JP6496320B2 (ja) 2013-12-30 2019-04-03 キャノン・ナノテクノロジーズ・インコーポレーテッド サブ20nmの図案の均一なインプリントパターン転写方法
TWI690482B (zh) 2013-12-31 2020-04-11 佳能奈米科技股份有限公司 用於局部區域壓印之非對稱模板形狀調節
WO2016065308A1 (en) 2014-10-23 2016-04-28 Board Of Regents, The University Of Texas System Nanoshape patterning techniques that allow high-speed and low-cost fabrication of nanoshape structures
US10131134B2 (en) 2015-10-30 2018-11-20 Canon Kabushiki Kaisha System and method for discharging electrostatic charge in nanoimprint lithography processes
US10211051B2 (en) 2015-11-13 2019-02-19 Canon Kabushiki Kaisha Method of reverse tone patterning
US10654216B2 (en) 2016-03-30 2020-05-19 Canon Kabushiki Kaisha System and methods for nanoimprint lithography
US10035296B2 (en) 2016-10-13 2018-07-31 Canon Kabushiki Kaisha Methods for controlling spread of imprint material
US10549313B2 (en) 2016-10-31 2020-02-04 Canon Kabushiki Kaisha Edge field imprint lithography
US10627715B2 (en) 2016-10-31 2020-04-21 Canon Kabushiki Kaisha Method for separating a nanoimprint template from a substrate
US11454883B2 (en) 2016-11-14 2022-09-27 Canon Kabushiki Kaisha Template replication
US10969680B2 (en) 2016-11-30 2021-04-06 Canon Kabushiki Kaisha System and method for adjusting a position of a template
US10578984B2 (en) 2016-12-20 2020-03-03 Canon Kabushiki Kaisha Adaptive chucking system
US10079152B1 (en) 2017-02-24 2018-09-18 Canon Kabushiki Kaisha Method for forming planarized etch mask structures over existing topography
US9971249B1 (en) 2017-02-27 2018-05-15 Canon Kabushiki Kaisha Method and system for controlled ultraviolet light exposure
US10303049B2 (en) 2017-03-22 2019-05-28 Canon Kabushiki Kaisha Reducing electric charge in imprint lithography
US10534259B2 (en) 2017-03-28 2020-01-14 Canon Kabushiki Kaisha Method and system for imprint force control
US10866510B2 (en) 2017-07-31 2020-12-15 Canon Kabushiki Kaisha Overlay improvement in nanoimprint lithography
US10996560B2 (en) 2017-07-31 2021-05-04 Canon Kabushiki Kaisha Real-time correction of template deformation in nanoimprint lithography
US10935883B2 (en) 2017-09-29 2021-03-02 Canon Kabushiki Kaisha Nanoimprint template with light blocking material and method of fabrication
US11036130B2 (en) 2017-10-19 2021-06-15 Canon Kabushiki Kaisha Drop placement evaluation
US10409178B2 (en) * 2017-12-18 2019-09-10 Canon Kabushiki Kaisha Alignment control in nanoimprint lithography based on real-time system identification
US10996561B2 (en) 2017-12-26 2021-05-04 Canon Kabushiki Kaisha Nanoimprint lithography with a six degrees-of-freedom imprint head module
JP2020035924A (ja) * 2018-08-30 2020-03-05 キオクシア株式会社 原版
US11815811B2 (en) 2021-03-23 2023-11-14 Canon Kabushiki Kaisha Magnification ramp scheme to mitigate template slippage

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1322309A (zh) * 1998-10-05 2001-11-14 纳幕尔杜邦公司 可电离辐射成象的感光聚合物组合物
US20020036183A1 (en) * 2000-09-27 2002-03-28 Kabushiki Kaisha Toshiba Method for forming pattern
CN1350659A (zh) * 1999-05-12 2002-05-22 薄膜电子有限公司 聚合物薄膜图案成形方法及其应用

Family Cites Families (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
FR2325018A1 (fr) * 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (ja) * 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
DE3377597D1 (en) * 1982-04-12 1988-09-08 Nippon Telegraph & Telephone Method for forming micropattern
FR2538923A1 (fr) 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4517337A (en) * 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
DE3760773D1 (en) * 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
KR930000293B1 (ko) 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 미세패턴형성방법
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
ES2103261T3 (es) 1989-04-24 1997-09-16 Siemens Ag Procedimiento para la generacion de estructuras resistentes a la corrosion.
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
US5110514A (en) * 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
JP3197010B2 (ja) * 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (ja) 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
SE468027B (sv) * 1991-02-27 1992-10-19 Asea Brown Boveri Optoelektronisk komponent med halvledarelement innefattade i sluten kapsel, vilken kapsel bestaar av en kaapa som centreras och laases relativt en sockel medelst ett byggelement
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5206983A (en) * 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5421981A (en) * 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5263073A (en) * 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (zh) * 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) * 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
NL9401260A (nl) * 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5434107A (en) 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
WO1997007429A1 (en) * 1995-08-18 1997-02-27 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5597438A (en) * 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5684066A (en) * 1995-12-04 1997-11-04 H.B. Fuller Licensing & Financing, Inc. Protective coatings having enhanced properties
US5725788A (en) * 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5743996A (en) * 1996-08-27 1998-04-28 Tachi-S Co., Ltd. Structure of bonding die for forming a seat
US6039897A (en) * 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6342097B1 (en) * 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US20040029395A1 (en) * 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
EP1065567A3 (en) * 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
TW442961B (en) * 1999-10-08 2001-06-23 Taiwan Semiconductor Mfg Manufacturing method of double-recess crown capacitor of DRAM
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
US6391217B2 (en) * 1999-12-23 2002-05-21 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US6566258B1 (en) * 2000-05-10 2003-05-20 Applied Materials, Inc. Bi-layer etch stop for inter-level via
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
EP2306242A3 (en) * 2000-10-12 2011-11-02 Board of Regents, The University of Texas System Method of forming a pattern on a substrate
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
WO2003035932A1 (en) * 2001-09-25 2003-05-01 Minuta Technology Co., Ltd. Method for forming a micro-pattern on a substrate by using capillary force
US20030080472A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1322309A (zh) * 1998-10-05 2001-11-14 纳幕尔杜邦公司 可电离辐射成象的感光聚合物组合物
CN1350659A (zh) * 1999-05-12 2002-05-22 薄膜电子有限公司 聚合物薄膜图案成形方法及其应用
US20020036183A1 (en) * 2000-09-27 2002-03-28 Kabushiki Kaisha Toshiba Method for forming pattern

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
BENDER M ET AL.《Multiple imprinting in UV-basednanoimprintlithography:relatedmaterial issues》.MICROELECTRONIC ENGINEERING,61-62卷.2002,61-62卷407-413. *
IBM CORP.《OPTICAL AND SUB-OPTICALIMAGINGTECHNIQUEUSING OPTICAL IMAGING》.IBM TECHNICAL DISCLOSURE BULLETIN32卷.1989,32卷435-436. *

Also Published As

Publication number Publication date
US7261831B2 (en) 2007-08-28
CN1802265A (zh) 2006-07-12
US20040188381A1 (en) 2004-09-30
US20070099337A1 (en) 2007-05-03
US7179396B2 (en) 2007-02-20

Similar Documents

Publication Publication Date Title
CN1802265B (zh) 正性双层压印光刻法及其所用组合物
US7122079B2 (en) Composition for an etching mask comprising a silicon-containing material
TWI280160B (en) Method for dispensing a fluid on a substrate
TWI296127B (en) Method of patterning a conductive layer on a substrate
US7455955B2 (en) Planarization method for multi-layer lithography processing
US7279113B2 (en) Method of forming a compliant template for UV imprinting
JP4791357B2 (ja) 成形される領域と成形型のパターンとの間の接着を低減させる方法
US7396475B2 (en) Method of forming stepped structures employing imprint lithography
US7041604B2 (en) Method of patterning surfaces while providing greater control of recess anisotropy
US20060063112A1 (en) Pattern reversal employing thick residual layers
US20060261518A1 (en) Use of step and flash imprint lithography for direct imprinting of dielectric materials for dual damascene processing
US20110140306A1 (en) Composition for an Etching Mask Comprising a Silicon-Containing Material
KR20070083711A (ko) 낮은-k 유전 기능 임프린팅 재료
US7205244B2 (en) Patterning substrates employing multi-film layers defining etch-differential interfaces
CN102279517A (zh) 纳米压印方法
EP2146370A2 (en) Method of forming an in-situ recessed structure
EP1614004B1 (en) Positive tone bi-layer imprint lithography method and compositions therefor
US7252777B2 (en) Method of forming an in-situ recessed structure

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20111026