CN1698188A - 沉积低介电常数膜的方法 - Google Patents

沉积低介电常数膜的方法 Download PDF

Info

Publication number
CN1698188A
CN1698188A CNA2004800002143A CN200480000214A CN1698188A CN 1698188 A CN1698188 A CN 1698188A CN A2004800002143 A CNA2004800002143 A CN A2004800002143A CN 200480000214 A CN200480000214 A CN 200480000214A CN 1698188 A CN1698188 A CN 1698188A
Authority
CN
China
Prior art keywords
organo
silicon
siliceous
radio
frequency power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800002143A
Other languages
English (en)
Other versions
CN1698188B (zh
Inventor
弗雷德里克·加伊拉德
斯里尼瓦斯·D·内马尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1698188A publication Critical patent/CN1698188A/zh
Application granted granted Critical
Publication of CN1698188B publication Critical patent/CN1698188B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC

Abstract

本发明公开了一种沉积低介电常数膜的方法。该低介电常数膜包括至少一个硅碳氧化物层和至少一个基本不含硅的、包含碳和氢的层。这些层是由包含有机硅化合物和不含硅的基于烃的化合物的气体混和物沉积而成的。低介电常数膜是通过包含射频脉冲的等离子工艺沉积的。

Description

沉积低介电常数膜的方法
技术领域
本发明的实施例涉及集成电路的制造。更具体地说,本发明的实施例涉及在衬底上沉积介电层的工艺。
背景技术
自从集成电路器件在几十年前问世后,集成电路的尺寸已经显著地减小。自那之后,集成电路一般遵循“两年/尺寸减半”规律(经常被成为莫尔定律),即每两年在芯片上的元件数目都会增加一倍。目前的制造设备一般能生产出具有0.13μm甚至0.1μm线宽的器件,并且很快下一代设备就会生产出甚至具有更小线宽的器件。
器件尺寸的持续减小要求具有更低k值的膜,因为在相邻的金属线之间的耦合电容必须减小以便进一步减小集成电路上的元件尺寸。特别地,具有低于4.0的介电常数(k)的绝缘体是非常需要的。具有低介电常数的绝缘体的例子包括:旋压玻璃(spin-on glass),例如未掺杂的硅玻璃(USG)或氟掺杂的硅玻璃(FSG),二氧化硅,和聚四氟乙烯(PTFE),这些都可以从市场上购得。
尽管介电常数低于4的介电材料已被开发出来,但是还需要具有更低介电常数的介电材料,例如介电常数低于约2.5的材料。因此,需要一种制造更低介电常数材料的可控工艺,以便提高集成电路上元件的速度和效率。
发明内容
本发明的实施例包括沉积低介电常数膜的方法,包括:在射频功率存在的条件下,使包括一种或多种有机硅化合物和一种或多种不含硅的基于烃的化合物的气体混和物反应,沉积出硅碳氧化物层;以及在不存在射频功率的条件下,从所述气体混和物沉积出包含碳和氢的、基本不含硅的层。一个实施例是具有硅碳氧化物层和基本不含硅的层的交替层的低介电常数膜。在不存在射频功率的条件下,所述有机硅化合物与所述不含硅的基于烃的化合物基本不相互反应。
附图说明
下面将参照具体实施例更具体地描述上面简单总结过的本发明,以便使本发明的上述特征可以被更详细地理解,一些实施例通过附图被示出。但是,应注意附图仅仅示出了本发明的典型实施例,不应将附图视为对本发明的限制,因为本发明还可以具有其它的等效实施方式。
图1是一个示例性的CVD反应器的截面图。
图2是与图1所示的示例性CVD等离子反应器一同使用的工艺控制计算机程序流程图。
具体实施方式
本发明的实施例提供了低介电常数膜,其包括一个或多个介电常数低于3的硅碳氧化物(silicon oxycarbide)层和一个或多个包含碳和氢的、基本不含硅的层,从而提供了总介电常数低于2.5的膜,优选地,总介电常数为2.0到2.2。在一个优选实施例中,所述一个或多个硅碳氧化物层和一个或多个包含碳和氢的、基本不含硅的层是由相同的气体混和物沉积而成的。使用下面描述的气体混和物,在存在射频功率的情况下沉积出硅碳氧化物层,在不存在射频功率的情况下沉积出包含碳和氢的、基本不含硅的层。
硅碳氧化物层由包括一种或多种有机硅化合物和一种或多种不含硅的基于烃的化合物的气体混和物而沉积在衬底上,例如半导体衬底。有机硅化合物可以包括有机硅烷或含氧有机硅化合物。在有机硅化合物是有机硅烷的实施例中,所述气体混和物还包括氧化气体,例如氧气(O2),臭氧(O3),一氧化二氮(N2O),一氧化碳(CO),二氧化碳(CO2),水(H2O),过氧化氢(H2O2)或它们的组合。在一个实施例中,所述气体混和物可以同时包括有机硅烷和含氧有机硅化合物,以及可选地,氧化气体。
有机硅烷可以是在硅原子之间不含氧的市售有机硅烷。可以使用的有机硅烷的例子包括:
甲基硅烷                       CH3-SiH3
二甲基硅烷                     (CH3)2-SiH2
三甲基硅烷                     (CH3)3-SiH
乙基硅烷                       CH3-CH2-SiH3
二硅烷基甲烷                   SiH3-CH2-SiH3
二(甲基硅烷基)甲烷             CH3-SiH2-CH2-SiH2-CH3
1,2-二硅烷基乙烷              SiH3-CH2-CH2-SiH3
1,2-二(甲基硅烷基)乙烷        CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-二硅烷基丙烷              SiH3-C(CH3)2-SiH3
二乙基硅烷                     (C2H5)2-SiH2
丙基硅烷                       C3H7-SiH3
乙烯基甲基硅烷                 (CH2=CH)CH3SiH2
1,1,2,2-四甲基乙硅烷        HSi(CH3)2-Si(CH3)2H
六甲基乙硅烷                   (CH3)3Si-Si(CH3)3
1,1,2,2,3,3-六甲基丙硅烷  H(CH3)2Si-Si(CH3)2-SiH(CH3)2
1,1,2,3,3-五甲基丙硅烷     H(CH3)2Si-SiH(CH3)-SiH(CH3)2
二甲基二硅烷基乙烷             CH3-SiH2-(CH2)2-SiH2-CH3
(Dimethyldisilanoethane)
二甲基二硅烷基丙烷             CH3-SiH-(CH2)3-SiH-CH3
(Dimethyldisilanopropane)
四甲基二硅烷基乙烷             (CH3)2-SiH-(CH2)2-SiH-(CH3)2
(Tetramethyldisilanoethane)
四甲基二硅烷基丙烷             (CH3)2-Si-(CH2)3-Si-(CH3)2
(Tetramethyldisilanopropane)
1,3,5-三硅烷基-2,4,6-三甲撑       -(-SiH2CH2-)3-(环状)
含氧有机硅化合物可以是在两个或多个硅原子之间含氧的市售的有机硅氧烷。可以使用的含氧有机硅化合物的例子包括:
二甲基二甲氧基硅烷                          (CH3)2-Si-(OCH3)2
1,3-二甲基二甲硅醚                         CH3-SiH2-O-SiH2-CH3
1,1,3,3-四甲基二甲硅醚(TMDSO)            (CH3)2-SiH-O-SiH-(CH3)2
六甲基二甲硅醚(HMDS)                        (CH3)3-Si-O-Si-(CH3)3
1,3-二(硅烷基亚甲基)二甲硅醚               (SiH3-CH2-SiH2-)2-O
二(1-甲基二甲硅醚基)甲烷                    (CH3-SiH2-O-SiH2-)2-CH2
2,2-二(1-甲基二甲硅醚基)丙烷               (CH3-SiH2-O-SiH2-)2-C(CH3)2
六甲氧基二甲硅醚(HMDOS)                     (CH3O)3-Si-O-Si-(OCH3)3
1,3,5,7-四甲基环四硅氧烷(TMCTS)          -(-SiHCH3-O-)4-(环状)
八甲基环四硅氧烷(OMCTS)                     -(-Si(CH3)2-O-)4-(环状)
1,3,5,7,9-五甲基环五硅氧烷              -(-SiHCH3-O-)5-(环状)
1,3,5,7-四硅烷基-2,6-二氧-4,8-二甲撑   -(-SiH2-CH2-SiH2-O-)2-(环状)
六甲基环三硅氧烷                            -(-Si(CH3)2-O-)3-(环状)
十甲基环五硅氧烷                            -(-Si(CH3)2-O-)5-(环状)
不含硅的基于烃的化合物可以是含有10个或更多个碳原子的线性化合物。这里,“基于烃的化合物”是指主要包含碳和氢的烃或化合物,但它还包含其它原子,比如氧。例如,醇是基于烃的化合物。优选地,本发明实施例中使用的基于烃的化合物不含氟。优选的不含硅的基于烃的化合物是聚乙二醇。
低介电常数膜的硅碳氧化物层以及一个或多个包含碳和氢的、基本不含硅的层可以利用任何能够进行化学气相沉积(CVD)的处理室进行沉积。用于进行这里描述的工艺的一种合适的反应器是可通过商业途径从加利福尼亚州圣克拉拉市的应用材料公司得到的DxZTM化学气相沉积室。可以用于这里的工艺的CVD反应器的一个例子在授权给Wang等人并转让给应用材料公司(本专利的受让人)的、名称为“A Thermal CVD/PECVDReactor and Use for Thermal Chemial Vapor Deposition of Silicon Dioxide andIn-situ Multi-step Planarized Process”的美国专利No.5,000,113中进行了描述。可以使用作为组合工具(cluster tool)的一部分的CVD室。例如,也可以使用作为可通过商业途径从应用材料公司得到的Producer系统的部分的双CVD室。
优选地,这里描述的低介电常数膜是在处理室中通过等离子增强工艺而沉积的。图1示出了可被用来进行等离子增强工艺的平行板CVD处理室10的纵向横截面图。室10包括高真空区15和带孔的气体分配歧管11,气体分配歧管11用于使处理气通过它分散到衬底(未示出)。衬底安置在衬底支撑板或基座12上。基座12安装在支撑杆13上,支撑杆13将基座12连接到提升马达14。提升马达14在处理位置和更低的、衬底加载位置之间抬起和降低基座12,使得基座12(以及支撑在基座12上表面上的衬底)可以在较低的加载/卸载位置和较高的、紧靠歧管11的处理位置之间可控地移动。当基座12和衬底处于较高的处理位置时,绝缘体17围绕着基座12和衬底。
引入到歧管11的气体沿径向被均匀分配到衬底的表面。具有节流阀的真空泵32控制通过歧管24从室10排气的速度。沉积气体和载气(如果需要的话)通过气体管道18流入混和系统19,然后到达歧管11。一般地,每一个处理气供应管道18包括:(i)安全切断阀(未示出),其可以自动或手动地切断进入室的处理气气流,以及(ii)质量流量控制器(也未示出),其用于测量通过气体供应管道18的气体流量。当工艺中使用有毒气体时,在传统的配置中,每一个气体供应管道18上都设置几个安全切断阀。
在等离子增强工艺中,通常通过利用射频功率源25施加在气体分配歧管11上的射频能量,在邻近衬底处形成受控的等离子体。另外,射频功率也可以提供给基座12上。
系统控制器34控制着马达14、气体混和系统19和射频功率源25,它们通过控制线36连接到控制器34。系统控制器34控制着CVD室的操作,并且一般包括硬盘驱动器、软盘驱动器和插卡导轨(card rack)。插卡导轨包含单板计算机(SBC)、模拟和数字输入/输出板、接口板以及步进马达控制器板。系统控制器34符合VME标准(Versa ModularEuropeans Standard),VME标准规定了板、插件架以及连接器的尺寸和类型。VME标准还规定了具有16位数据总线和24位地址总线的总线结构。用户和系统控制器之间的接口是通过CRT显示器(未示出)和光笔(未示出)实现的。
图2示出了计算机程序410的层级控制结构的示意性框图。系统控制器34在计算机程序410的控制下工作,其中计算机程序410存储在硬盘驱动器38中。计算机程序410控制着时间安排、气体的混和、射频功率水平、基座位置以及具体工艺的其它参数。计算机程序代码可以使用任何传统的计算机可读编程语言来编写,例如68000汇编语言、C、C++、或者Pascal。利用传统的文本编辑器,将合适的程序代码编写成单个的文件或多个文件,并存储或嵌入到计算机可用介质中,例如计算机的存储器系统。如果编写的代码文本是用高级语言,则代码要进行编译,并将得到的编译代码与预编译窗口库例程的目标代码连接。为了执行连接的编译目标代码,系统用户调用目标代码,使计算机将代码加载到存储器,CPU从存储器读取并执行代码,从而执行程序中规定的任务。
在一个实施例中,用户使用光笔接口响应在CRT显示器上显示的菜单或画面,将工艺集合序号和处理室编号输入到工艺选择器子程序420。工艺集合是预先确定的、执行特定工艺所必须的工艺参数的集合,并且用预先定义的集合序号来标识。工艺选择器子程序420(i)在组合工具中选择需要的处理室,并且(ii)为了执行需要的工艺而选择操作该处理室所必须的工艺参数集合。用于执行特定工艺的工艺参数以配方(recipe)的形式提供给用户,并涉及工艺条件,例如处理气组成、流速、温度、压强、等离子条件(例如射频偏压功率水平和磁场功率水平)、冷却气压强以及室壁温度。配方指定的参数利用光笔/CRT显示器接口被输入。监视工艺的信号由系统控制器34的模拟输入板和数字输入板提供,控制工艺的信号被输出到系统控制器34的模拟输出板和数字输出板。
工艺定序器子程序430包括用于从工艺选择器子程序420接收被标识的处理室和工艺参数集合、以及用于控制各个处理室的操作的的程序代码。多个用户可以输入工艺集合序号和处理室编号,或者单个用户可以输入多个处理室编号,所以定序器子程序430以希望的顺序调度被选择的工艺。优选地,定序器子程序430包括计算机可读程序代码来执行如下步骤:(i)监视处理室的操作以确定该处理室是否正被使用,(ii)确定正在被使用的室中正在执行什么工艺,以及(iii)根据处理室的可用性和代执行的工艺类型,执行希望的工艺。可以使用传统的监视处理室的方法,例如轮询。当调度哪一个工艺要被执行时,定序器子程序430可以被设计成考虑到相比被选定工艺的期望工艺条件而言,正被使用的处理室的当前状态,或者每个特定用户所输入的请求的时间,或者任何其它的为了确定调度优先级系统程序员认为需要包括进去的相关因素。
一旦定序器子程序430确定了接下去要执行哪一个处理室与工艺集合的组合,定序器子程序430就通过将具体的工艺集合参数传递给室管理器子程序440来执行该工艺集合,其中的室管理器子程序440根据定序器子程序430确定的工艺集合来控制在处理室10中的多个处理任务。例如,室管理器子程序440包括用于控制处理室10中的CVD工艺操作的程序代码。室管理器子程序440还控制着多个室部件子程序的执行,这些室部件子程序控制着为了执行被选择的工艺集合而必须的室部件的操作。室部件子程序的例子是:基座控制子程序450,处理气控制子程序460,压强控制子程序470,加热器控制子程序480,以及等离子控制子程序490。本领域技术人员会很容易认识到:根据在反应器10中要进行什么工艺,还可以包括其它的室部件控制子程序。
在操作中,室管理器子程序440根据正被执行的具体工艺集合来选择性地调度或调用工艺部件子程序。室管理器子程序440调度工艺部件子程序的方法类似于定序器子程序430调度接下来要执行哪一个处理室10和工艺集合的方法。一般地,室管理器子程序440包括如下步骤:监视各个室部件,根据要被执行的工艺集合的工艺参数来确定哪些部件要被操作,以及响应监视步骤和确定步骤而执行室部件子程序。
下面参照附图2描述具体室部件子程序的操作。基座控制定位子程序450包括用于控制这种室部件的程序代码,该室部件用来将衬底加载到基座12上,以及可选地,在室10中将衬底提升到一个期望的高度来控制衬底与气体分配歧管11之间的间隔。当衬底被加载到室10内时,基座12被降低以接收衬底,然后,基座12被提升到室内其期望的高度,使得在CVD工艺期间保持衬底与气体分配歧管11之间为第一距离或间隔。在操作中,基座控制子程序450响应从室管理器子程序440传递来的工艺集合参数而控制基座12的移动。
处理气控制子程序460具有用于控制处理气的组成和流速的程序代码。处理气控制子程序460控制安全切断阀的开/关位置,并上/下移动质量流量控制器以获得期望的气体流速。如所有的室部件子程序那样,处理气控制子程序460被室管理器子程序440调用,并从室管理器子程序接收与期望气体流速相关的工艺参数。典型地,处理气控制子程序460通过下述方式工作,即打开气体供应管道,并重复执行:(i)读取必要的质量流量控制器,(ii)将读数与从室部件管理器子程序440接收的期望气体流速进行比较,(iii)如果需要的话,调整气体供应管道的流速。此外,处理气控制子程序460包括如下步骤:监视气体流的危险流速,并且当检测到危险状态时,即启动安全切断阀门。
在一些工艺中,在反应处理气引入到室内之前,例如氦气或氩气的惰性气体流入到室10中以稳定室内的压强。对这些工艺,处理气控制子程序460被编程为包括使惰性气体流入室10,持续一段时间使得足以稳定室内的压强的步骤,然后上述步骤就可以执行了。此外,当处理气要从液体前驱体中蒸发出来时,处理气控制子程序460将被写有包括在鼓泡器组件中将例如氦气的输送气体鼓泡穿过液体前驱体的步骤。对于这种类型的工艺,处理气控制子程序460调整输送气体的流量、鼓泡器中的压强以及鼓泡器的温度以便获得期望的处理气流速。如上所述,期望的处理气流速作为工艺参数被传递到处理气控制子程序460。此外,处理气控制子程序包括通过访问所存储的、包含给定处理气流速必须的值的表,获取用于期望的处理气流速的必须的输送气流速、鼓泡器压强以及鼓泡器温度的步骤。一旦获得了这些必须的值,输送气流速、鼓泡器压强以及鼓泡器温度就被监视,与必须值相比较并相应地调整。
压强控制子程序470包括通过调整排气泵32的节流阀开口尺寸控制反应器10的压强的程序代码。相对于总处理气流量,处理室的尺寸以及排气泵32的抽吸设定点压强,设定节流阀的开口尺寸来将室压强控制到期望的水平。当压强控制子程序470被调用时,从室管理器子程序440接收期望压强水平(或者说目标压强水平)作为一个参数。压强控制子程序470通过读取一个或多个连接到室的传统的压力计来测量室10内的压强,将测量值与目标压强值相比较,从一个对应于目标压强值的存储压强表来获得PID值(P:比例,I:积分,D:微分),并根据从压强表获得的PID值调整节流阀。或者,压强控制子程序470可以被写以打开或关泵节流阀到一个特定开口尺寸,从而调整室10到期望的压强水平。
加热器控制子程序480包括用于控制加热模块的温度或用于加热基座12的辐射热的程序代码。加热器控制子程序480也被室管理器子程序440调用,并接收目标温度参数或设定点温度参数。加热器控制子程序480通过测量位于基座12内的热电偶的输出电压来测量温度,将测量值与设定点温度进行比较,并且增大或降低施加到加热模块的电流来获得设定点温度。通过查询在存储转换表格中的对应温度,或是通过一个四次多项式计算温度,可以从测量到的电压获得温度。加热器控制子程序480控制施加到加热模块的电流逐渐地上升/下降。这种逐渐地上升/下降增大了加热模块的寿命和稳定性。此外,可以包含一个内置的自动保险装置来检测工艺安全性,并且如果反应器10没有被正确地设置就可以切断加热模块的操作。
等离子控制子程序490包括程序代码用于设定施加到室10内的处理电极射频偏压功率水平,并可选地,设定反应器内产生的磁场的水平。类似于前面描述过的室部件子程序,等离子控制子程序490被室管理器子程序440调用。
上面对CVD系统的描述主要用于示例说明的目的,也可以使用其它的等离子CVD设备,例如电极回旋加速器共振(ECR)等离子CVD设备、感应耦合射频高密度等离子CVD设备、或其它类似设备。另外,上述设备的修改,例如在基座设计、加热器设计、射频功率的连接位置等方面的修改也是可以的。
尽管上面参照控制器34描述了可编程的工艺,这些工艺也可以被中央处理单元(CPU)来控制,所述中央处理单元可以是任何形式的能够在工业中应用来控制处理室的通用计算机处理器或子处理器。计算机可以使用任何合适的存储器,例如随机访问存储器、只读存储器、软盘驱动器、硬盘驱动器、或任何其它形式的数字存储器,可以是本地的或远程的。可以将各种支持电路耦合到CPU,用来以传统方式支持处理器。根据需要,工艺序列例程可以存储在存储器内或由远程的附属CPU执行。
工艺序列例程,当执行时,将通用计算机转变成了控制室操作的专用的工艺计算机,使得这里描述的沉积工艺得以执行。或者,利用作为专用集成电路的远程硬件或其它类型的硬件实现,或软件和硬件的组合,可以控制室的操作。
再次参考图1,在这里描述的实施例中,射频功率源25可以提供13.56MHz到60MHz的单一频率的射频功率。或者,射频功率可以利用混合频率来输送以增强引入到高真空区15的反应组分的分解。在一个方面,射频功率可以以13.56MHz、60MHz或它们的组合输送。
至处理室的射频功率是脉冲的。可以利用约3KHz到约100KHz的频率来脉冲启动或关闭射频功率。射频功率水平在约50瓦(W)到约1000W之间。脉冲射频功率的占空因数(duty cycle)可以是约10%到约90%。
在一个优选实施例中,包括一种或多种有机硅化合物和一种或多种不含硅的基于烃的化合物的气体混和物被引入处理室,并生成等离子体。在一个优选实施例中,有机硅化合物是十甲基环五硅氧烷(decamethylcyclopentasiloxane),且不含硅的基于烃的化合物是聚乙二醇。在射频功率脉冲期间,一种或多种有机硅化合物的至少一部分和一种或多种不含硅的基于烃的化合物反应,并且包含来自于一种或多种有机硅化合物和一种或多种不含硅的基于烃的化合物的材料的硅碳氧化物层被沉积。一种或多种有机硅化合物和一种或多种不含硅的基于烃的化合物的反应通常导致一些不含硅的基于烃的化合物降解。由于一些不含硅的基于烃的化合物的降解,在硅碳氧化物层中可能产生孔隙。
在没有施加射频功率(即射频关闭)的期间,可以维持气体混和物向室中的流动。气体混和物的组分流入室的速度与在射频功率脉冲期间流入的速度可以相同或不同。优选地,室的温度要维持在使得在不施加射频功率的时,处理室中的一种或多种有机硅化合物与一种或多种不含硅的基于烃的化合物之间基本不相互发应。在不施加射频功率的期间,含碳和氢的层由一种或多种不含硅的基于烃的化合物沉积出来。含碳和氢的层也可以包含其它原子,这取决于在气体混和物中使用的不含硅的基于烃的化合物。例如,如果不含硅的基于烃的化合物包含氧,含碳和氢的层也包含氧。优选地,含碳和氢的层不包含硅,或者基本不包含硅。通常,包括碳和氢的、基本不含硅的层不具有孔。
首先,硅碳氧化物层或者基本不含硅的、包括碳和氢的层沉积在衬底上,这取决于在衬底上沉积第一层时是否施加了射频功率。多个硅碳氧化物层和多个包括碳和氢的、基本不含硅的层被沉积以形成期望厚度的膜。一般地,由多个硅碳氧化物层和多个包括碳和氢的、基本不含硅的层形成的低介电常数膜是两相结构,其中一相是无孔的,即包括碳和氢的、基本不含硅的层,一相是多孔的,即硅碳氧化物层。
在层的沉积期间,室的温度可以是从0℃到不足以导致有机硅化合物的显著的热化学气相沉积的温度。优选地,室的温度在约0℃到约400℃之间,例如约0℃到约50℃。所述一种或多种有机硅化合物可以约100mgm到约1500mgm之间的速度被引入室内。一种或多种不含硅的基于烃的化合物可以约100mgm到约1500mgm之间的速度被引入室内。例如氦气的载气可以约500sccm到约2000sccm之间的速度被引入室内。可选地,氧化气体可以约0sccm到约200sccm之间的速度流入室内。室的压强可以是约1托到约100托。
可选地,这里描述的低介电常数膜可以在沉积后被退火。例如,膜可以置于约100℃到约400℃的温度持续约10分钟到约1小时,优选约30分钟。例如氦气、氢气、氮气或其混和物的非反应气体以100sccm到约10000sccm之间的速度被引入。室压强维持在约2托到约10托之间。射频功率在13.56MHz下,功率为约200W到约1000W,并且优选的衬底间隔在约300密尔(mil)到约800密尔。
退火处理可以从低介电常数膜的硅碳氧化物层除去不稳定的有机组分,从而在硅碳氧化物层内生成空洞或孔隙。但是,认为用于沉积硅碳氧化物层的处理条件一般已足以从硅碳氧化物层去除足够量的有机材料,以致不需要额外的退火步骤即可以得到具有期望的孔隙率和期望的低介电常数的低介电常数膜。
按照这里描述的实施例沉积的介电膜具有小于2.5的介电常数。人们相信这里描述的实施例提供了用于沉积低介电常数膜的可控性好的(即连续的)工艺,可以通过调整用于沉积硅碳氧化物层和包含碳和氢的、基本不含硅的层的处理条件来调整膜的性质。例如,通过改变流入室内的不含硅的基于烃的化合物的量,以及/或通过改变射频关闭(期间,包含碳和氢的、基本不含硅的层被沉积)的时间长度,可以控制膜的孔隙率。一般地,人们相信在射频功率脉冲期间增加不含硅的基于烃的化合物的流量会提高沉积的硅碳氧化物层的孔隙率,而在射频关闭期间增加不含硅的基于烃的化合物的流量或延长射频关闭期间的时间会增加沉积膜中一般为无孔的、包含碳和氢的、基本不含硅的层的相对量,从而降低沉积膜的总孔隙率。
下面的例子示出了本发明的一种低介电常数膜。这种膜是用作为集成处理平台的一部分的化学气相沉积室来沉积的。具体地,这种膜是利用从加利福尼亚州圣克拉拉市的应用材料公司得到的DxZTM化学气相沉积室沉积的。
理想实例1:
在室压强约为10托、温度约为200℃的条件下,一种低介电常数膜从下面的反应气体中沉积出来:
十甲基环五硅氧烷,流速约800mgm;
聚乙二醇,流速约800mgm;
氧气,流速约100sccm;以及
氦气,流速约1200sccm。
为了进行膜的等离子增强沉积,在13.56MHz的频率下,约500W的功率水平被施加到喷头。射频功率是脉冲的,具有约20%的占空因数。当射频功率打开时,硅碳氧化物层被沉积;当射频功率关闭时,包含碳和氢的、基本不含硅的层被沉积。硅碳氧化物层与包含碳和氢的、基本不含硅的层相互交替,形成了低介电常数膜。
尽管前面描述了本发明的实施例,本发明的其它的和进一步的实施例也可以被设计出来而不会偏离本发明的基本保护范围;本发明的保护范围由所附权利要求来决定。

Claims (20)

1.一种沉积具有低于2.5的介电常数的膜的方法,包括:
在射频功率存在的条件下,从包括一种或多种有机硅化合物和一种或多种不含硅的基于烃的化合物的气体混和物沉积出硅碳氧化物层;以及
在不存在射频功率的条件下,从所述气体混和物沉积出包含碳和氢的、基本不含硅的层。
2.如权利要求1所述的方法,其中所述一种或多种有机硅化合物包括有机硅烷,且所述气体混和物还包括氧化气体。
3.如权利要求2所述方法,其中所述有机硅烷选自如下化合物组成的组:甲基硅烷,二甲基硅烷,三甲基硅烷,乙基硅烷,二硅烷基甲烷,二(甲基硅烷基)甲烷,1,2-二硅烷基乙烷,1,2-二(甲基硅烷基)乙烷,2,2-二硅烷基丙烷,二乙基硅烷,丙基硅烷,乙烯基甲基硅烷,1,1,2,2-四甲基乙硅烷,六甲基乙硅烷,1,1,2,2,3,3-六甲基丙硅烷,1,1,2,3,3-五甲基丙硅烷,二甲基二硅烷基乙烷,二甲基二硅烷基丙烷,四甲基二硅烷基乙烷,四甲基二硅烷基丙烷,1,3,5-三硅烷基-2,4,6-三甲撑,以及它们的组合。
4.如权利要求1所述的方法,其中所述一种或多种有机硅化合物包括含氧有机硅化合物。
5.如权利要求4所述的方法,其中所述含氧有机硅化合物选自下列化合物组成的组:二甲基二甲氧基硅烷,1,3-二甲基二甲硅醚,1,1,3,3-四甲基二甲硅醚,六甲基二甲硅醚,1,3-二(硅烷基亚甲基)二甲硅醚,二(1-甲基二甲硅醚基)甲烷,2,2-二(1-甲基二甲硅醚基)丙烷,六甲氧基二甲硅醚,1,3,5,7-四甲基环四硅氧烷,八甲基环四硅氧烷,1,3,5,7,9-五甲基环五硅氧烷,1,3,5,7-四硅烷基-2,6-二氧-4,8-二甲撑,六甲基环三硅氧烷,十甲基环五硅氧烷,以及它们的组合。
6.如权利要求1所述的方法,其中所述一种或多种不含硅的基于烃的化合物包括具有10个或更多个碳的线性化合物。
7.如权利要求1所述的方法,其中所述一种或多种有机硅化合物是十甲基环五硅氧烷,且一种或多种不含硅的基于烃的化合物是聚乙二醇。
8.如权利要求1所述方法,其中所述射频功率在约50W到约1000W。
9.如权利要求1所述的方法,其中所述射频功率的占空因数为约10%到约90%。
10.如权利要求1所述的方法,其中所述射频功率的频率为约13.56MHz到约60MHz。
11.如权利要求1所述的方法,其中所述反应和沉积步骤被重复执行以形成期望厚度的膜。
12.一种沉积具有低于2.5的介电常数的膜的方法,包括:
在射频功率存在的条件下,从包括一种或多种有机硅化合物和一种或多种不含硅的基于烃的化合物的气体混和物沉积出多孔的硅碳氧化物层;以及
在不存在射频功率时,在以下的条件下,从所述气体混和物沉积出包含碳和氢的、基本不含硅的层,所述条件使得所述一种或多种有机硅化合物与一种或多种不含硅的基于烃的化合物相互之间基本不发生反应,其中所述硅碳氧化物层和所述包含碳和氢的、基本不含硅的层形成介电常数低于2.5的膜。
13.如权利要求12所述的方法,其中所述一种或多种有机硅化合物包括有机硅烷,且所述气体混和物还包括氧化气体。
14.如权利要求13所述方法,其中所述有机硅烷选自如下化合物组成的组:甲基硅烷,二甲基硅烷,三甲基硅烷,乙基硅烷,二硅烷基甲烷,二(甲基硅烷基)甲烷,1,2-二硅烷基乙烷,1,2-二(甲基硅烷基)乙烷,2,2-二硅烷基丙烷,二乙基硅烷,丙基硅烷,乙烯基甲基硅烷,1,1,2,2-四甲基乙硅烷,六甲基乙硅烷,1,1,2,2,3,3-六甲基丙硅烷,1,1,2,3,3-五甲基丙硅烷,二甲基二硅烷基乙烷,二甲基二硅烷基丙烷,四甲基二硅烷基乙烷,四甲基二硅烷基丙烷,1,3,5-三硅烷基-2,4,6-三甲撑,以及它们的组合。
15.如权利要求12所述的方法,其中所述一种或多种有机硅化合物包括含氧有机硅化合物。
16.如权利要求15所述的方法,其中所述含氧有机硅化合物选自下列化合物组成的组:二甲基二甲氧基硅烷,1,3-二甲基二甲硅醚,1,1,3,3-四甲基二甲硅醚,六甲基二甲硅醚,1,3-二(硅烷基亚甲基)二甲硅醚,二(1-甲基二甲硅醚基)甲烷,2,2-二(1-甲基二甲硅醚基)丙烷,六甲氧基二甲硅醚,1,3,5,7-四甲基环四硅氧烷,八甲基环四硅氧烷,1,3,5,7,9-五甲基环五硅氧烷,1,3,5,7-四硅烷基-2,6-二氧-4,8-二甲撑,六甲基环三硅氧烷,十甲基环五硅氧烷,以及它们的组合。
17.如权利要求12所述的方法,其中所述一种或多种不含硅的基于烃的化合物包括具有10个或更多个碳的线性化合物。
18.如权利要求12所述的方法,其中所述一种或多种有机硅化合物是十甲基环五硅氧烷,且一种或多种不含硅的基于烃的化合物是聚乙二醇。
19.一种计算机存储介质,其包含软件程序,当被执行时,使得通用计算机控制沉积室执行一种沉积介电常数低于2.5的膜的方法,包括:
在射频功率存在的条件下,从包括一种或多种有机硅化合物和一种或多种不含硅的基于烃的化合物的气体混和物沉积出硅碳氧化物层;以及
在不存在射频功率的条件下,从所述气体混和物沉积出包含碳和氢的、基本不含硅的层。
20.如权利要求19所述的计算机存储介质,其中所述射频功率的占空因数为约10%到约90%。
CN2004800002143A 2003-01-31 2004-01-29 沉积低介电常数膜的方法 Expired - Fee Related CN1698188B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/355,379 US6897163B2 (en) 2003-01-31 2003-01-31 Method for depositing a low dielectric constant film
US10/355,379 2003-01-31
PCT/US2004/002792 WO2004070788A2 (en) 2003-01-31 2004-01-29 Method for depositing a low dielectric constant film

Publications (2)

Publication Number Publication Date
CN1698188A true CN1698188A (zh) 2005-11-16
CN1698188B CN1698188B (zh) 2010-09-08

Family

ID=32770517

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800002143A Expired - Fee Related CN1698188B (zh) 2003-01-31 2004-01-29 沉积低介电常数膜的方法

Country Status (3)

Country Link
US (1) US6897163B2 (zh)
CN (1) CN1698188B (zh)
WO (1) WO2004070788A2 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101595559B (zh) * 2007-01-29 2012-01-04 应用材料股份有限公司 形成镶嵌结构的方法
CN102034724B (zh) * 2009-09-29 2012-05-30 中芯国际集成电路制造(上海)有限公司 确定实际工艺中氟化玻璃介电常数值的方法
CN101316945B (zh) * 2005-12-13 2013-03-20 应用材料公司 低介电常数薄膜的灰化/湿法蚀刻损伤的抵抗性以及整体稳定性的改进方法
CN103794491A (zh) * 2012-10-29 2014-05-14 中芯国际集成电路制造(上海)有限公司 一种低介电常数层的制作方法
CN106433454A (zh) * 2016-09-12 2017-02-22 上海至纯洁净系统科技股份有限公司 在物体表面形成保护层的方法及表面形成有保护层的产品
WO2020148587A1 (en) * 2019-01-18 2020-07-23 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7148154B2 (en) * 2003-08-20 2006-12-12 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and low film stress
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US20070009673A1 (en) * 2005-07-06 2007-01-11 Asm Japan K.K. Insulation film and method for manufacturing same
JP4764155B2 (ja) * 2005-12-06 2011-08-31 株式会社東芝 絶縁膜形成方法、半導体装置の製造方法及びプログラム
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
US7998536B2 (en) * 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US9514932B2 (en) * 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
US9879340B2 (en) * 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10553427B2 (en) * 2017-04-27 2020-02-04 Applied Materials, Inc. Low dielectric constant oxide and low resistance OP stack for 3D NAND application
CN108933088B (zh) * 2017-05-25 2020-05-29 上海稷以科技有限公司 一种封装的方法及封装结构
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
US20210265158A1 (en) * 2020-02-25 2021-08-26 Asm Ip Holding B.V. Method of forming low-k material layer, structure including the layer, and system for forming same

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59128281A (ja) * 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
US4845054A (en) * 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4981724A (en) * 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
US5003178A (en) * 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
JP2531906B2 (ja) * 1991-09-13 1996-09-04 インターナショナル・ビジネス・マシーンズ・コーポレイション 発泡重合体
JP2934353B2 (ja) * 1992-06-24 1999-08-16 三菱電機株式会社 半導体装置およびその製造方法
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
CA2137928C (en) * 1992-07-04 2002-01-29 Christopher David Dobson A method of treating a semiconductor wafer
US5389152A (en) 1992-10-09 1995-02-14 Avco Corporation Apparatus for densification of porous billets
US5753564A (en) * 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5668570A (en) * 1993-06-29 1997-09-16 Ditzik; Richard J. Desktop computer with adjustable flat panel screen
US5364666A (en) * 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
JP2899600B2 (ja) * 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
US5888593A (en) * 1994-03-03 1999-03-30 Monsanto Company Ion beam process for deposition of highly wear-resistant optical coatings
US5618619A (en) * 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
US5858880A (en) * 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
JPH0855913A (ja) * 1994-06-07 1996-02-27 Texas Instr Inc <Ti> サブミクロン相互接続の選択的空隙充填方法
JPH08181210A (ja) * 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JPH08181276A (ja) * 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
US6037274A (en) * 1995-02-17 2000-03-14 Fujitsu Limited Method for forming insulating film
US5637351A (en) * 1995-05-11 1997-06-10 Air Products And Chemicals, Inc. Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter
US6652922B1 (en) * 1995-06-15 2003-11-25 Alliedsignal Inc. Electron-beam processed films for microelectronics structures
JP3061255B2 (ja) * 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
US5638251A (en) 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
JPH09116011A (ja) * 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
US5599740A (en) * 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5834162A (en) * 1996-10-28 1998-11-10 Regents Of The University Of California Process for 3D chip stacking
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
JPH1116904A (ja) * 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5891799A (en) * 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
TW439197B (en) * 1997-10-31 2001-06-07 Dow Corning Electronic coating having low dielectric constant
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US7064088B2 (en) * 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US6514880B2 (en) * 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6432846B1 (en) * 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6303047B1 (en) * 1999-03-22 2001-10-16 Lsi Logic Corporation Low dielectric constant multiple carbon-containing silicon oxide dielectric material for use in integrated circuit structures, and method of making same
US6524974B1 (en) * 1999-03-22 2003-02-25 Lsi Logic Corporation Formation of improved low dielectric constant carbon-containing silicon oxide dielectric material by reaction of carbon-containing silane with oxidizing agent in the presence of one or more reaction retardants
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6509259B1 (en) * 1999-06-09 2003-01-21 Alliedsignal Inc. Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6458720B1 (en) * 1999-07-23 2002-10-01 Matsushita Electric Industrial Co., Ltd. Method for forming interlayer dielectric film
EP1094506A3 (en) * 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6316063B1 (en) * 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers
JP3348084B2 (ja) * 1999-12-28 2002-11-20 キヤノン販売株式会社 成膜方法及び半導体装置
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
EP1123991A3 (en) * 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
JP3419745B2 (ja) 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
US6444136B1 (en) * 2000-04-25 2002-09-03 Newport Fab, Llc Fabrication of improved low-k dielectric structures
CN1100162C (zh) * 2000-09-26 2003-01-29 复旦大学 低介电常数绝缘介质α-SiCOF薄膜及其制备方法
US6756323B2 (en) 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6649540B2 (en) * 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
US6500773B1 (en) * 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
CN2477228Y (zh) * 2001-03-29 2002-02-20 陈永祥 高尔夫球杆握把结构
JP3505520B2 (ja) * 2001-05-11 2004-03-08 松下電器産業株式会社 層間絶縁膜
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
JP3749162B2 (ja) * 2001-12-05 2006-02-22 キヤノン販売株式会社 半導体装置の製造方法
JP3701626B2 (ja) * 2001-12-06 2005-10-05 キヤノン販売株式会社 半導体装置の製造方法
US7108771B2 (en) * 2001-12-13 2006-09-19 Advanced Technology Materials, Inc. Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films
US7423166B2 (en) * 2001-12-13 2008-09-09 Advanced Technology Materials, Inc. Stabilized cyclosiloxanes for use as CVD precursors for low-dielectric constant thin films
US7196422B2 (en) * 2001-12-14 2007-03-27 Intel Corporation Low-dielectric constant structure with a multilayer stack of thin films with pores
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US6936309B2 (en) * 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US20040101632A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US7122880B2 (en) * 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
US6734533B2 (en) * 2002-05-30 2004-05-11 Intel Corporation Electron-beam treated CDO films
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6737365B1 (en) * 2003-03-24 2004-05-18 Intel Corporation Forming a porous dielectric layer

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101316945B (zh) * 2005-12-13 2013-03-20 应用材料公司 低介电常数薄膜的灰化/湿法蚀刻损伤的抵抗性以及整体稳定性的改进方法
CN101595559B (zh) * 2007-01-29 2012-01-04 应用材料股份有限公司 形成镶嵌结构的方法
CN102034724B (zh) * 2009-09-29 2012-05-30 中芯国际集成电路制造(上海)有限公司 确定实际工艺中氟化玻璃介电常数值的方法
CN103794491A (zh) * 2012-10-29 2014-05-14 中芯国际集成电路制造(上海)有限公司 一种低介电常数层的制作方法
CN106433454A (zh) * 2016-09-12 2017-02-22 上海至纯洁净系统科技股份有限公司 在物体表面形成保护层的方法及表面形成有保护层的产品
WO2020148587A1 (en) * 2019-01-18 2020-07-23 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage
GB2595103A (en) * 2019-01-18 2021-11-17 Ibm Forming high carbon content flowable dielectric film with low processing damage
GB2595103B (en) * 2019-01-18 2022-10-05 Ibm Forming high carbon content flowable dielectric film with low processing damage
US11756786B2 (en) 2019-01-18 2023-09-12 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage

Also Published As

Publication number Publication date
CN1698188B (zh) 2010-09-08
US6897163B2 (en) 2005-05-24
US20040152338A1 (en) 2004-08-05
WO2004070788A3 (en) 2004-10-28
WO2004070788A2 (en) 2004-08-19

Similar Documents

Publication Publication Date Title
CN1698188B (zh) 沉积低介电常数膜的方法
US6797643B2 (en) Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6486082B1 (en) CVD plasma assisted lower dielectric constant sicoh film
US7060330B2 (en) Method for forming ultra low k films using electron beam
US7056560B2 (en) Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6627532B1 (en) Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6258735B1 (en) Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
US20030194495A1 (en) Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
EP1055012B1 (en) Plasma processes for depositing low dielectric constant films
US6413583B1 (en) Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US7034409B2 (en) Method of eliminating photoresist poisoning in damascene applications
US6660656B2 (en) Plasma processes for depositing low dielectric constant films
KR101161074B1 (ko) 기판상에 실리콘 옥사이드 층을 형성시키는 방법
CN106067440A (zh) 使用基于碳的膜的间隙填充
US20030211244A1 (en) Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
CN103238206A (zh) 原位低介电常数加盖以改良整合损坏抗性
JP2014514729A (ja) 酸化ケイ素多重層を使用したパターンローディングの低減
KR20010080287A (ko) Cvd 나노포러스 실리카 저유전상수 막
US6709721B2 (en) Purge heater design and process development for the improvement of low k film properties
CN1698189A (zh) 改善低介电常数材料的破裂临界值及机械特性的方法
EP1607493B1 (en) Plasma processes for depositing low dielectric constant films

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100908

Termination date: 20150129

EXPY Termination of patent right or utility model