CN1523647A - 电抛光半导体器件上金属互连的装置 - Google Patents

电抛光半导体器件上金属互连的装置 Download PDF

Info

Publication number
CN1523647A
CN1523647A CNA200410004837XA CN200410004837A CN1523647A CN 1523647 A CN1523647 A CN 1523647A CN A200410004837X A CNA200410004837X A CN A200410004837XA CN 200410004837 A CN200410004837 A CN 200410004837A CN 1523647 A CN1523647 A CN 1523647A
Authority
CN
China
Prior art keywords
wafer
electropolishing
present
negative electrode
electrolyte
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200410004837XA
Other languages
English (en)
Other versions
CN1306572C (zh
Inventor
辉·王
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ACM Research Inc
Original Assignee
ACM Research Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ACM Research Inc filed Critical ACM Research Inc
Publication of CN1523647A publication Critical patent/CN1523647A/zh
Application granted granted Critical
Publication of CN1306572C publication Critical patent/CN1306572C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3063Electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/16Polishing
    • C25F3/22Polishing of heavy metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F7/00Constructional parts, or assemblies thereof, of cells for electrolytic removal of material from objects; Servicing or operating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Abstract

用于抛光晶片(31)上制作的金属层的电抛光装置包括电解液(34)、抛光槽(100)、晶片吸盘(29)、流体入口(5,7,9)和至少一个阴极(1,2,3)。晶片吸盘(29)吸住晶片(31)并将其置于抛光槽(100)内。电解液(34)通过流体入口(5,7,9)送入抛光槽(100)。然后,阴极(1,2,3)将电解电流施加于电解液来电抛光晶片(31)。根据本发明的一个方面,可以电抛光晶片(31)的分立部分来提高电抛光后的晶片的均匀性。

Description

电抛光半导体器件上金属互连的装置
本申请是1999年7月8日提交、发明名称为“电抛光半导体器件上金属互连的方法和装置”、申请号为99808421.2的发明专利申请的分案申请。
技术领域
本发明一般涉及到对半导体晶片上的金属层进行电抛光的方法和装置。更确切地说,本发明涉及到对制作在半导体晶片上的半导体器件中的互连进行电抛光的系统。
背景技术
通常,半导体器件被制造在称为晶片或薄片的半导体材料组成的圆片上。更确切地说,晶片一开始切自硅锭。然后,晶片经历多次掩蔽、腐蚀和淀积工艺,以形成半导体器件的电子电路。
在过去的几十年中,半导体工业根据Moore定律已经提高了半导体器件的容量,Moore定律预计每18个月半导体器件的容量增大一倍。借助于减小这些半导体器件的特征尺寸(亦即出现在器件上的最小尺寸),已经获得了半导体器件功能的提高。实际上,半导体器件的特征尺寸已经从0.35微米迅速地减小到了0.25微米,且目前到了0.18微米。无疑,这一向更小半导体器件发展的趋势可能要进行到远超过亚0.18微米阶段。
然而,对发展更强有力的半导体器件的一个潜在的限制因素是互连(将单个半导体器件的各个元件连接到一起和/或将任何数目的半导体器件连接到一起的导体线)中不断增大的信号延迟。随着半导体器件的特征尺寸的减小,器件上互连的密度提高。然而,各个互连更紧密的靠近增大了互连的线间电容,导致互连处更大的信号延迟。通常已经发现互连延迟随特征尺寸减小的平方而增大。相反,已经发现栅延迟(亦即在半导体器件的栅或台面处的延迟)随特征尺寸减小而线性增大。
补救互连延迟的这一增大的一个常规方法曾经是加入更多层的金属。然而,这一方法的缺点是由于制作额外的金属层而提高了生产成本。而且,这些额外的金属层产生额外的热,这对芯片性能和可靠性都是不利的。
因此,半导体工业已经开始使用铜而不是铝来制作金属互连。铜的一个优点是具有比铝更高的电导率。而且,铜受电迁移的影响比铝小(意味着铜制作的线在电流负载下更不倾向于变薄)。但使用铜的一个明显的缺点是铜倾向于渗漏到硅衬底中,从而沾污半导体器件。
此外,在铜能够被广泛地用于互连之前,需要新的加工方法。更确切地说,在常规的镶嵌工艺中,金属在槽状沟槽和/或通孔中被图形化。然后用化学机械抛光(“CMP”)方法对淀积的金属进行回抛光。通常,依赖于互连结构的设计,需要抛光0.5微米到1.5毫米的金属。用常规CMP抛光如此大量的金属,需要很长的抛光时间,并消耗大量的悬浮液,这导致高的制造成本。
发明内容
根据本发明的一方面,提供一种用来对制作在晶片上的金属层进行电抛光的装置,它包含:用来抛光金属层的电解液;用来容纳晶片的抛光槽;用来将晶片吸住并将晶片置于所述抛光槽中的晶片吸盘;以及至少一个用来将所述电解液涂敷到晶片的喷射器,其中所述喷射器将所述电解液涂敷到晶片的逐个部分,以便从晶片均匀地电抛光金属层。
在本说明书的结尾部分,本发明的要旨被特别地指出并特别提出了权利要求。但借助于参照结合权利要求和附图的下列描述,可以最好地理解关于操作的结构和方法的本发明,在这些附图中,可以用相似的参考号表示相似的部分。
附图说明
图1A-1D是根据本发明的各种情况的半导体晶片的剖面图;
图2是根据本发明的各种情况加工晶片的流程图;
图3A-3C分别是根据本发明的各种情况的晶片加工工具的示意俯视图、剖面图和侧面图;
图4A-4D是根据本发明的各种情况的另一个晶片的剖面图;
图5是根据本发明的各种情况加工晶片的另一流程图;
图6A-6C分别是根据本发明的各种情况的另一种晶片加工工具的示意俯视图、剖面图和侧面图;
图7A是根据本发明的各种情况的电抛光装置的一部分的俯视图;
图7B是图7A所示电抛光装置的沿图7A中7B-7B线的局部剖面图,且部分以方框图的形式出现;
图8是可以结合图7A所示电抛光装置使用的各种波形图;
图9A-9D是根据本发明的各种情况的电抛光装置的变通实施例的一部分的俯视图;
图10是各种波形图,描述了根据本发明的各种情况的电抛光工艺的一部分;
图11A是根据本发明的各种情况的另一变通实施例的一部分的俯视图;
图11B是图11A所示变通实施例的沿图11A中11B-11B线的局部剖面图,且部分以方框图的形式出现;
图12A是根据本发明的各种情况的第二变通实施例的一部分的俯视图;
图12B是图12A所示变通实施例的沿图12A中12B-12B线的局部剖面图,且部分以方框图的形式出现;
图13A是根据本发明的各种情况的第三变通实施例的一部分的俯视图;
图13B是图13A所示变通实施例的沿图13A中13B-13B线的局部剖面图,且部分以方框图的形式出现;
图14A是根据本发明的各种情况的第四变通实施例的一部分的俯视图;
图14B是图14A所示变通实施例的沿图14A中14B-14B线的局部剖面图,且部分以方框图的形式出现;
图15是根据本发明的各种情况的第五变通实施例剖面图;
图16A是根据本发明的各种情况的第六变通实施例的一部分的俯视图;
图16B是图16A所示变通实施例的沿图16A中16B-16B线的局部剖面图,且部分以方框图的形式出现;
图17A是根据本发明的各种情况的第七变通实施例的一部分的俯视图;
图17B是图17A所示变通实施例的沿图17A中17B-17B线的局部剖面图,且部分以方框图的形式出现;
图18A是根据本发明的各种情况的第八变通实施例的剖面图;
图18B是根据本发明的各种情况的第九变通实施例的剖面图;
图19A是根据本发明的各种情况的第十变通实施例的剖面图;
图19B是根据本发明的各种情况的第十一变通实施例的剖面图;
图20A是根据本发明的各种情况的第十二变通实施例的一部分的俯视图;
图20B是图20A所示变通实施例的沿图20A中20B-20B线的局部剖面图,且部分以方框图的形式出现;
图21A是根据本发明的各种情况的第十三变通实施例的一部分的俯视图;
图21B是根据本发明的各种情况的第十四变通实施例的一部分的俯视图;
图22A是根据本发明的各种情况的第十五变通实施例的一部分的俯视图;
图22B是图22A所示变通实施例的沿图22A中22B-22B线的局部剖面图,且部分以方框图的形式出现;
图23A是根据本发明的各种情况的第十六变通实施例的一部分的俯视图;
图23B是根据本发明的各种情况的第十七变通实施例的一部分的俯视图;
图23C是根据本发明的各种情况的第十八变通实施例的一部分的俯视图;
图24A是根据本发明的各种情况的第十九变通实施例的一部分的俯视图;
图24B是图24A所示变通实施例的沿图24A中24B-24B线的局部剖面图,且部分以方框图的形式出现;
图25是根据本发明的各种情况的第二十变通实施例的一部分的俯视图;
图26是根据本发明的各种情况的第二十一变通实施例的一部分的俯视图;
图27A是根据本发明的各种情况的第二十二变通实施例的一部分的俯视图;
图27B是根据本发明的各种情况的第二十三变通实施例的一部分的俯视图;
图27C是根据本发明的各种情况的第二十四变通实施例的一部分的俯视图;
图28A是根据本发明的各种情况的第二十五变通实施例的一部分的俯视图;
图28B是图28A所示变通实施例的沿图28A中28B-28B线的局部剖面图,且部分以方框图的形式出现;
图29A是根据本发明的各种情况的第二十六变通实施例的一部分的俯视图;
图29B是图29A所示变通实施例的沿图29A中29B-29B线的局部剖面图,且部分以方框图的形式出现;
图30A是根据本发明的各种情况的第二十七变通实施例的一部分的俯视图;
图30B是图30A所示变通实施例的沿图30A中30B-30B线的局部剖面图,且部分以方框图的形式出现;
图31A是根据本发明的各种情况的第二十八变通实施例的一部分的俯视图;
图31B是图31A所示变通实施例的沿图31A中31B-31B线的局部剖面图,且部分以方框图的形式出现;
图32A是根据本发明的各种情况的第二十九变通实施例的一部分的剖面图;
图32B是根据本发明的各种情况的第三十变通实施例的一部分的剖面图;
图32C是根据本发明的各种情况的第三十一变通实施例的一部分的剖面图;
图32D是根据本发明的各种情况的第三十二变通实施例的一部分的剖面图;
图33是根据本发明的各种情况经历电抛光的晶片的俯视图;
图34A是根据本发明的各种情况的第三十三变通实施例的一部分的俯视图;
图34B是根据本发明的各种情况的第三十四变通实施例的一部分的俯视图;
图34C是根据本发明的各种情况的第三十五变通实施例的一部分的俯视图;
图34D是根据本发明的各种情况的第三十六变通实施例的一部分的俯视图;
图35A是根据本发明的各种情况的第三十七变通实施例的一部分的剖面图;
图35B是根据本发明的各种情况的第三十八变通实施例的一部分的剖面图;
图36A是根据本发明的各种情况的第三十九变通实施例的一部分的俯视图;
图36B是图36A所示变通实施例的沿图36A中36B-36B线的局部剖面图,且部分以方框图的形式出现;
图37是一组波形,描述了根据本发明的各种情况的一部分电抛光工艺;
图38A是根据本发明的各种情况的第四十变通实施例的一部分的俯视图;
图38B是图38A所示变通实施例的沿图38A中38B-38B线的局部剖面图,且部分以方框图的形式出现;
图39A是根据本发明的各种情况的第四十一变通实施例的一部分的俯视图;
图39B是图39A所示变通实施例的沿图39A中39B-39B线的局部剖面图,且部分以方框图的形式出现;
图40A是根据本发明的各种情况的第四十二变通实施例的一部分的俯视图;
图40B是图40A所示变通实施例的沿图40A中40B-40B线的局部剖面图,且部分以方框图的形式出现;
图41是一组波形图,描述了根据本发明的各种情况的一部分电抛光工艺;
图42是可以结合本发明使用的额外的一组波形;
图43A是根据本发明的各种情况的第四十三变通实施例的一部分的俯视图;
图43B是图43A所示变通实施例的沿图43A中43B-43B线的局部剖面图,且部分以方框图的形式出现;
图44A是根据本发明的各种情况的第四十四变通实施例的一部分的俯视图;
图44B是图44A所示变通实施例的沿图44A中44B-44B线的局部剖面图,且部分以方框图的形式出现;
图45是根据本发明的各种情况的第四十五变通实施例的局部剖面图,且部分以方框图的形式出现;
图46是根据本发明的各种情况的第四十六变通实施例的局部剖面图,且部分以方框图的形式出现;
图47A-47C分别是根据本发明的各种情况的晶片加工工具的另一个实施例的示意俯视图、剖面图和侧面图;
图48是流程图,描述了根据本发明的各种情况的用来控制晶片加工工具的部分软件的工作;
图49A-49C分别是根据本发明的各种情况的晶片加工工具的再一个实施例的示意俯视图、剖面图和侧面图;
图50是根据本发明的各种情况的晶片加工工具的再一个实施例的一部分的示意俯视图;
图51是根据本发明的各种情况的晶片加工工具的另一个实施例的一部分的示意俯视图;
图52A-52C分别是根据本发明的各种情况的晶片加工工具的再一个实施例的示意俯视图、剖面图和侧面图;
图53是波形图,描述了根据本发明的各种情况的一部分加工操作;
图54A是根据本发明的各种情况的第四十七变通实施例的一部分的俯视图;以及
图54B是图54A所示变通实施例的沿图54A中54B-54B线的局部剖面图,且部分以方框图的形式出现。
具体实施方式
为了提供对本发明的更详尽的了解,下面的描述列举了大量的具体细节,诸如具体的材料、参数等等。但应该承认的是,这些描述不是为了限制本发明的范围,而是被用来得到示例性实施例的完整的描述。
参照图1A,根据本发明的一种情况,半导体晶片31适当地包括衬底层124。更确切地说,在本发明的示例性实施例中,衬底层124最好包括硅。但应该承认的是,依赖于特定的应用,衬底层124可以包括诸如砷化镓之类的各种各样的半导体材料。
根据本发明的另一种情况,半导体晶片31适当地包括制作在衬底层124顶部上的介电层123。在本示例性实施例中,介电层123最好包括二氧化硅(SiO2)。可以用诸如化学汽相淀积、蒸发、溅射之类的任何常规淀积方法,将介电层123制作在衬底层124上。
此外,介电层123可以包括介电常数(“K”)比SiO2低的各种材料,这些各种材料通常被称为低K材料,诸如氢-倍半硅氧烷(silsesquioxane)(HSQ)、干凝胶、聚合物、气凝胶之类。比之介电常数约为4.2的SiO2,HSQ的介电常数约为3.0-2.5,而干凝胶的介电常数约为2.0。通常,低K材料提供了更好的电隔离。因此,用低K材料作为介电层123能够有利于制作特征尺寸比较小的半导体器件。
在衬底层124上适当地制作介电层123之后,用任何常规工艺适当地制作半导体器件的电路。在本示例性实施例中,最好使用镶嵌工艺。因此,用诸如光掩蔽、光刻、微光刻之类的任何常规的图形化方法,在介电层123中制作沟槽(亦即间隙)125和栅(亦即台面)126。
接着,根据本发明的再一种情况,在介电层123的顶部上适当地制作势垒层122。如图1A所示,势垒层122还适当地镶衬在沟槽125的壁上。如下面将要描述的那样,当包括铜的金属层121被制作在介电层123的顶部上时,势垒层122恰当地防止了金属层121中的铜扩散进入介电层123中。因此,在本示例性实施例中,势垒层122最好包括诸如钛、钽、钨、氮化钛、氮化钽、氮化钨之类的抗铜扩散的材料。可以用诸如物理汽相淀积(PVD)、化学汽相淀积(CVD)之类的任何常规淀积方法,来淀积势垒层122。但应该承认的是,在某些应用中,例如,当介电层123由抗铜扩散的材料组成时,或当铜扩散进入介电层123对半导体器件的性能没有不利的影响时,可以略去势垒层122。
如上所述,根据本发明的另一种情况,依赖于特定的应用,金属层121可以恰当地制作在势垒层122的顶部上,或制作在介电层123的顶部上。此外,金属层121被恰当地淀积在沟槽125中。在本示例性实施例中,金属层121最好包括铜。因此,金属层121被制作在势垒层122的顶部上,以便恰当地防止铜从金属层121扩散进入介电层123中。虽然本发明特别适合于使用包括铜的金属层121,但应该承认的是,金属层121能够包括诸如镍、铬、锌、镉、银、金、铑、钯、铂、锡、铅、铁、铟之类的各种导电材料。
金属层121可以用诸如PVD、CVD之类的任何常规方法制作在势垒层122上或介电层123上。此外,可以用其全部内容被此处列为参考的1999年1月15日提出的题为“电镀装置和方法”的在案申请No.09/232864中所述的电镀工艺来制作金属层121。
参照图1B,根据本发明的另一种情况,制作在台面126顶部上的金属层121被恰当地电抛光。本发明能够被有利地用于镶嵌工艺中,其中半导体器件的电路被图形化到沟槽即间隙中。但应该承认的是,本发明能够结合各种其他的工艺被使用,而不偏离本发明的构思和/或范围。
现参照图7A和7B,示出了根据本发明的各种情况的晶片电抛光装置50。在本发明的一个示例性实施例中,晶片电抛光装置50最好包括被区段壁109、107、105、103和101分隔成6个区段111、112、113、114、115和116的抛光槽100。如下面将更详细地描述的那样,应该承认的是,抛光槽100可以被任何适当数目的区段壁分隔成任何数目的区段。
抛光槽100以及区段壁109、107、105、103和101,由诸如聚四氟乙烯(商业上称为TEFLON)、聚氯乙烯(PVC)、聚亚乙烯氟(PVDF)、聚丙烯之类的任何常规电绝缘以及抗酸和抗腐蚀的材料适当地制作。在本示例性实施例中,抛光槽100以及区段壁109、107、105、103和101最好由PVDF制作。但应该承认的是,依赖于特定的应用,抛光槽以及各个区段壁109、107、105、103和101可以由不同的材料制成。
如图7B所示,在本示例性实施例中,电解液34通过适当地分别制作在区段111、113、115中的入口4、6和8流入抛光槽100中。更确切地说,泵33将电解液34恰当地从电解液容器36抽取到通道过滤器32并进入液体质量流量控制器(LMFC)21、22和23。通道过滤器32适当地过滤来自电解液34的沾污物。以这种方式,防止了沾污物进入抛光槽100和阻塞LMFC 21、22和23。在本示例性实施例中,通道过滤器32适当地清除了大于大约0.05微米而小于大约0.1微米的颗粒。但应该承认的是,依赖于特定的应用,可以使用各种过滤系统。此外,虽然过滤沾污物是有利的,但能够从晶片抛光装置50中略去通道过滤器32而不偏离本发明的构思和/或范围。
电解液34可以包括诸如磷酸之类的任何常规的电镀液。在本示例性实施例中,电解液34最好包括浓度在大约60%重量比和大约85%重量比之间,而最好是大约76%重量比的正磷酸(H2PO4)。此外,电解液34最好包括具有大约1%铝金属(相对于酸的重量)的正磷酸。但应该承认的是,依赖于特定的应用,电解液34的浓度和组分可以改变。
泵33可以包括诸如离心泵、隔膜泵、风箱式泵之类的任何常规的液压泵。此外,泵33是适当地抗酸、抗腐蚀和抗沾污的。在本示例性实施例中,泵33包括隔膜泵。如下面结合变通实施例将要描述的那样,应该承认的是,可以使用二个或更多的泵33而不偏离本发明的构思和/或范围。此外应该承认,电解液34可以通过入口4、6和8适当地被送到抛光槽100中而不用泵33。例如,电解液34在电解液容器36内可以保持在压力下。作为变通,电解液容器36与入口4、6、8之间的供液管可以保持在压力下。
LMFC 21、22和23可以包括任何常规的质量流量控制器,最好是抗酸、抗腐蚀和抗沾污的。此外,LMFC 21、22和23在设定的流速下将电解液34分别送到区段115、113和111中。此外,LMFC 21、22和23可以在正比于各个区段115、113和111的体积的流速下适当地输送电解液34。例如,若区段115的体积大于区段113,则向LMFC21输送电解液34的流速大于向LMFC 22输送的流速可能是有利的。在本示例性实施例中,LMFC 21、22和23最好被构造成用来在大约每分钟0.5升与大约每分钟40升之间的流速下输送电解液34。
此外,在本示例性实施例中,分隔的LMFC将电解液输送到各个区段115、113和111中。如下面将要更详细地描述的那样,此构造有利于晶片31的各个分立部分的电抛光。但应该承认的是,依赖于特定的应用,可以使用任何数目的LMFC。此外,如下面结合变通实施例将要描述的那样,可以从泵33将电解液34送到抛光槽100中而不用LMFC 21、22和23。
根据本发明的各种情况,晶片抛光装置50适当地包括分别排列在区段111、113和115中的阴极1、2和3。如下面将要更详细地描述的那样,虽然本示例性实施例包括3个阴极,但可以使用少于或多于3的任何数目的阴极而不偏离本发明。通常,使用的阴极越多,薄膜的均匀性可望越好。但使用的阴极越多,则成本越高。因此,考虑到性能与成本之间的折中,对于电抛光200mm的晶片,阴极的最佳数目可以是大约7到大约20,而对于电抛光300mm的晶片,则数目为大约10到大约30。
此外,阴极1、2和3可以包括诸如铜、铅、铂之类的任何常规导电材料。在电抛光过程中,从金属层121迁移出来的一些金属离子可能积累在阴极1、2和3上。因此,可以在适当的时间替换阴极1、2和3。例如,在加工大约100个晶片之后,可以适当地替换阴极1、2和3。
作为变通,可以对阴极1、2和3执行去镀工艺。例如,如下面将要更详细地描述的那样,根据本发明的各种情况,当阴极1、2和3带正电而晶片31带负电时,则晶片31被适当地电镀而不是被电抛光。以这种方式,晶片31可以用在阴极1、2和3上建立的金属被适当地电镀,从而适当地去镀阴极1、2和3。虽然在上述条件下,可能起阳极的作用,但为了一致和方便,它们将继续被称为阴极。
在本示例性实施例中,金属层121包括铜。因此,如上所述,在电抛光工艺过程中,来自金属层121的一些铜离子迁移到电镀阴极1、2和3。在上述的去镀工艺中,由于铜在阴极1、2和3上的聚集,晶片31可以被适当地电镀。但当阴极1、2和3由铜制作时,阴极1、2和3在去镀工艺中可以溶解。以这种方式,阴极1、2和3在去镀工艺中可以变形。因此,根据本发明的各种情况,阴极1、2和3可以由去镀工艺过程中抗溶解的材料适当地制成。例如,阴极1、2和3可以由铂适当地制成。作为变通,阴极1、2和3可以由适当地涂敷有涂层厚度最好为大约50微米到大约400微米的铂层的钛制成。
在本示例性实施例中,晶片吸盘29适当地将晶片31吸住并置于抛光槽100中。更确切地说,晶片31被适当地置于区段壁101、103、105、107和109的顶部上方,以便形成间隙以有利于电解液34在晶片31的底部表面与区段壁101、103、105、107和109的顶部之间流动。在本示例性实施例中,晶片31被适当地置于区段壁101、103、105、107和109的顶部上方,以便形成大约2mm到大约20mm的间隙。
在晶片31被适当地置于抛光槽100中之后,阴极1、2和3分别被电连接到电源13、12和11。此外,晶片31被电连接到电源13、12和11。以这种方式,当电解液34在晶片31的底部表面与区段壁101、103、105、107和109顶部之间流动时,就形成电路。更确切地说,比之晶片31,阴极1、2和3被充电成具有负电位。响应于阴极1、2和3上的这一负电位,金属离子则迁移离开晶片31,于是就对晶片31进行电抛光。然而,当电路的极性被反转(亦即阴极1、2和3成为阳极)时,金属离子就向着晶片31迁移,于是就对晶片31进行电镀。
以这种方式,借助于控制阴极1、2和3的极性以及借助于控制被电解液34接触的晶片31的部分,能够对晶片31的选定部分适当地进行电抛光和电镀。图33示出了根据本发明的各种情况的晶片31的选择性电抛光。参照图33,晶片区域280已经被电抛光,区域284正在被电抛光,而晶片区域282尚未被抛光。
再次参照图7A和7B,通常,抛光电流密度确定了金属离子迁移到或迁移离开晶片31的速率。因此,抛光电流密度越高,电抛光或电镀速率就越大。在本示例性实施例中,可以使用大约每平方分米0.1安培(A/dm2)到大约每平方分米40安培(A/dm2)的电流密度,最好是大约每平方分米10安培(A/dm2)。但应该承认的是,依赖于特定的应用,可以使用各种电流密度。
而且,电源13、12和11能够向阴极1、2和3施加不同的电流密度。例如,电源13、12和11施加的电流可以被设定为正比于被相应的阴极覆盖的晶片31的表面积。因此,若被阴极3覆盖的晶片31的表面积大于阴极2覆盖的表面积,则可以将电源11设定为比电源12提供更多的电流。以这种方式,能够控制电抛光速率,从而有利于晶片31的表面的更均匀的腐蚀。应该承认的是,相同的原理也可以被用来方便晶片31的表面的更均匀的电镀。
根据本发明的另一种情况,电源13、12和11能够以DC(亦即直流)模式工作。作为变通,电源13、12和11能够以各种脉冲模式工作。例如,参照图8,电源13、12和11能够用双极脉冲、修正的正弦波、单极脉冲、脉冲反转、脉冲上脉冲、双脉冲之类工作。电源13、12和11还能够以恒流模式、恒压模式、以及恒流模式与恒压模式的组合来工作。
再次参照图7B,驱动机构30绕z轴适当地旋转晶片31。以这种方式,能够在晶片31的表面上获得更均匀的电抛光。在本示例性实施例中,驱动机构30以大约每分钟10转到大约每分钟100转绕z轴旋转晶片31,最好是大约每分钟20转。
如图7A所示,阴极1、2和3基本上是圆形形状。因此,参照图7B,比之区段111、113和115(亦即包含阴极的那些区段)上方的晶片31的区域,区段112和114上方的晶片31的区域可能暴露于更低的电流密度。为了补偿,驱动机构30沿x和y方向适当地摆动晶片31。或作为变通,除了摆动晶片31之外,如图9A-9D所示,可以将抛光槽100、区段壁109、107、105、103和101、以及阴极1、2和3制作成诸如三角形、正方形、矩形、五边形、多边形、椭圆形之类的非圆形形状。以这种方式,当晶片31绕z轴被旋转时,晶片31表面上的抛光电流的分布可以被均衡。
电解液34通过适当地分别适当地制作在区段112、114和116中的出口5、7和9回到电解液容器36。漏压阀38被适当地置于泵33的出口与电解液容器36之间,使当LMFC 21、22和23被关闭时,电解液34能够漏回到电解液容器36。此外,加热器42、温度传感器40和加热器控制器44适当地控制电解液容器36中的电解液34的温度。在本示例性实施例中,晶片抛光装置50和电解液34最好工作于大约15℃到大约60℃的工作温度下,最好是大约45℃下。
参照图1A,晶片31被适当地电抛光一段时间(亦即电抛光时间周期),直至金属层121从势垒层122被清除,同时金属层121保留在沟槽125中(如图1B所示)。现参照图7B,必需的电抛光时间周期可以借助于测量电源11、12和13的输出电压和电流来确定。更确切地说,势垒层122的电阻通常明显地大于金属层121。例如,当势垒层122包括钛、氮化钛、钽、氮化钽、钨、或氮化钨,而金属层121包括铜时,势垒层122的电阻通常是大约50到大约100倍于金属层121的电阻。因此,在从晶片31的非沟槽部分抛光掉金属层121之后,从晶片31的边沿到中心测得的电位比抛光之前的更高。因此,如参照图7A、7B和10的下面的表中详述的那样,借助于比较电源11、12和13的输出电压,可以适当地确定晶片31上方已经被清除的金属层121部分。
表1
1.若V11(电源11的电压)和V12(电源12的电压)的数值小,而V13(电源13的电压)的数值大,则阴极1上方的晶片31上的金属层121已经被清除;
2.若V11的数值小,而V12和V13的数值大,则阴极3上方的晶片31上的金属层121尚未被清除。此外,阴极2上方的金属层121已经被清除。但不知道阴极1上方的晶片31上的金属层121的情况。因此,可以参考下列的额外条件来确定阴极1上方的晶片31上的金属层121的情况:
a.若V12和V13的数值彼此接近,则阴极1上方的晶片31上的金属层121尚未被清除;或
b.若V12和V13的数值彼此分离,则阴极1上方的晶片31上的金属层121已经被清除;
3.若V11、V12和V13的数值大,则阴极3上方的晶片31上的金属层121已经被清除。但不知道阴极2和1上方的晶片31上的金属层121的情况。因此,可以参考下列的额外条件来确定阴极2和1上方的晶片31上的金属层121的情况:
a.若V11、V12和V13的数值彼此分离,则阴极2和1上方的晶片31上的金属层121已经被清除;
b.若V11和V12的数值彼此分离,而V12和V13的数值彼此接近,则阴极2上方的晶片31上的金属层121已经被清除。此外,阴极1上方的晶片31上的金属层121尚未被清除;
c.若V11和V12的数值彼此接近,而V12和V13的数值彼此分离,则阴极上方的晶片31上的金属层121尚未被清除。此外,阴极1上方的晶片31上的金属层121已经被清除;或
d.若V12和V13的数值接近V11的数值,则阴极1和2上方的晶片31上的金属层121尚未被清除。
在上述的表中,V11、V12和V13被描述为大和/或小。但应该承认的是,术语“大”和“小”是相对的,且不意味着相对于任何特定的电压。例如,当V11、V12在上面被描述为小时,V11和V12是比V13小。如上所述,V11和V12可以比V13小大约50到大约100倍。
以这种方式,参照上述表,能够适当地确定晶片31的需要额外电抛光的区域。如稍后结合本发明的变通实施例将要描述的那样,可以适当地构造监视器来测量各个电源11、12和13所提供的电压和电流。此数据可以适当地传输到能够包括电子格式的上述逻辑表的控制系统。例如,上述的表可以被编码并存储在诸如磁带、磁盘、压缩磁盘之类的适当的电子存储媒质中或诸如集成电路、存储器芯片之类的适当的电子器件中。控制系统则可以执行适当的命令来继续或停止晶片31的特定部分的电抛光。应该承认的是,上述的控制系统能够被集成在可以是晶片电抛光工具的一个组成部分的适当的计算机系统中,下面描述其一个例子。
然而,还应该理解的是,能够用各种各样的方法来确定适当的电抛光时间周期。例如,如下面结合变通实施例将要更详细地描述的那样,传感器可以被用来测量晶片31(图1A和图7B)上的金属层(图1A)121的厚度。
作为变通,参照图53,能够适当地使用终点探测系统来确定适当的电抛光时间周期。根据一个示例性实施例,用适当的测量工具来监视从晶片31(图1A)的逐个边沿测得的电阻。如图53所示,随着晶片31(图1A)上的金属层121(图1A)的表面积由于电抛光而减小,从晶片31(图1A)的逐个边沿测得的电阻增大。因此,停止电抛光的适当时间最好在从晶片31的逐个边沿测得的电阻急剧改变的时间附近。具体参照图53,这可能在或接近t0和t1。超过t1的区域被称为过抛光区,意味着晶片31(图1B)已经被抛光,致使沟槽125(图1B)中的金属层121(图1B)的高程延伸到势垒层122(图1B)的高程以下。t0之前的区域被称为抛光不足区,意味着金属层121(图1A)尚未完全从栅126(图1A)上的介电层122(图1A)被清除。电阻信号可以被送到计算机,计算机然后可以将适当的信号送出以停止抛光工艺。
参照图7A和7B,用上述的本发明的示例性实施例,下列工艺步骤能够被用来选择性地电抛光晶片31的某些部分:
步骤1:开启电源13;
步骤2:仅仅打开LMFC 23,致使电解液仅仅接触到阴极1上方的部分晶片31,以便电抛光阴极1上方的金属层121(图1A);
步骤3:当金属层121(图1A)的厚度达到设定数值或设定厚度时,关断电源13并关闭LMFC 23;
步骤4:用LMFC 22和电源12,对阴极2重复步骤1-3;以及
步骤5:用LMFC 21和电源11,对阴极3重复步骤1-3。
除了上述的电抛光阴极1、阴极2、阴极3顺序之外,电抛光顺序还可以如下:
1)阴极3、阴极2、阴极1;
2)阴极2、阴极1、阴极3;
3)阴极2、阴极3、阴极1;
4)阴极3、阴极1、阴极2;或
5)阴极1、阴极3、阴极2。
借助于选择性地抛光部分晶片31,即使当晶片31是大直径晶片时,也能够从晶片31更均匀地电抛光金属层121(图1A)。例如,本发明可以被用于直径为300mm或更大的晶片31。在本文中,均匀的电抛光指的是金属层121被清除到在晶片31的基本上整个表面区上接近相等的厚度的对晶片31的电抛光。通常,在常规电抛光系统中,晶片31的直径越大,电抛光的不均匀性越大。例如,靠近中心的晶片31的区域可以被过抛光,而靠近晶片31的边沿的晶片31区域可以抛光不足。这部分地可能是由于常规电抛光系统施加到晶片31上的变化的电荷密度造成的。
除了选择性地抛光部分晶片31之外,用上述的本发明的示例性实施例,下列工艺步骤可以被用来基本上同时电抛光晶片31的整个表面:
步骤1:开启所有的电源11、12和13。如上所述,各个电源11、12和13的电流可以适当地设定为正比于被相应的阴极覆盖的晶片31的表面积;
步骤2:打开LMFC 21、22和23,也如上所述,来自各个LMFC21、22和23的电解液34的流速可以被适当地设定为正比于被相应的阴极覆盖的晶片31的表面积;
步骤3:当金属层121(图1A)的厚度均匀性达到设定数值或设定厚度时,同时关断电源11、12和13。也可以在不同的时间关断电源11、12和13,以便调整金属层121的厚度均匀性(图1A)。
以这种方式,能够适当地控制从晶片31的不同部分清除金属层121的速率,从而更均匀地电抛光晶片31上的金属层121。
有了示例性实施例的这样的结构和操作,下面将描述本发明在镶嵌工艺方面的应用。但应该承认的是,这一描述不是用来限制本发明的使用或应用可能性,而是用以得到本示例性实施例的完整的描述。
再次参照图1A,通常,当金属层121被适当地制作在晶片31上时,在沟槽125上能够形成凹陷127。如图1A所示,即使在电抛光之后,凹陷127仍然能够保留在制作在沟槽125中的金属层121中。这部分地可以由图1A所示的金属层121的原来不平坦的形貌造成。此外,过抛光能够有助于形成沟槽125中的凹陷127。凹陷127的存在能够对半导体器件的性能有不利的影响。此外,深度128大于大约500埃的凹陷127通常被认为是不希望有的。但应该承认的是,依赖于特定的应用,可以接受的凹陷深度128的大小可以变化。例如,对于高精度半导体器件,不大于几个埃的凹陷深度128可以是可接受的。但对于低成本的半导体器件,大于500埃的凹陷深度128也可以是可接受的。
根据本发明的一种情况,能够适当地控制电抛光时间周期,从而防止形成凹陷深度128大于大约500埃的凹陷127。然而,这会提高加工成本并降低加工产出。因此,根据本发明的另一种情况,可以适当地将电抛光和电镀工艺与化学机械抛光(CMP)工艺进行组合,以便清除凹陷127。通常,CMP工艺能够在晶片31上适当地产生具有凹陷深度128在大约100和大约500埃之间的凹陷127的平坦表面。
参照图1B,如上所述,金属层121被适当地从制作在台面126上的势垒层122电抛光。参照图1C,晶片31然后经历重新电镀工艺,以便重新电镀足够数量的金属来调整凹陷127(图1B),意味着金属被电镀在形成于沟槽125中的金属层121上(图1B),而不重新电镀在台面126上的势垒层122上。参照图7B,如上所述,借助于反转电源11、12和13的极性,晶片31可以被适当地重新电镀。以这种方式,也如下面更详细地所述,晶片31可以被适当地重新电镀,而无须将晶片31传送到另一站。
接着,根据本发明的另一种情况,已经被重新电镀的沟槽125中的金属层121被适当地整平,并适当地清除势垒层122。在本示例性实施例中,最好用CMP工艺来整平晶片31。借助于用上述的电抛光工艺清除大部分金属层121,现在仅仅需要用CMP清除少量的金属层121,这缩短了总的加工时间并降低了成本。
现参照图3A-3C,示出了根据本发明的各种情况的晶片加工工具301。在本发明的一个示例性实施例中,晶片加工工具最好包括电镀/电抛光池300、302、304、306和308、清洗池310、312、314、316和318、CMP池324、晶片盒320以及机械手322。
机械手322开始将晶片从晶片盒320传送到电镀/电抛光池300、302、304、306或308中的任何一个。晶片被适当地电镀金属层121(图1A)。接着,晶片被适当地电抛光,以便从势垒层122清除金属层121(图1B)。然后,晶片被适当地重新电镀,以便调整凹陷127(图1B和1C)。接着,机械手322将晶片传送到清洗池310、312、314、316或318中的任何一个。在晶片被清洗之后,机械手322将晶片传送到CMP池324,在此,金属层121被整平,且势垒层122被清除(图1D)。然后,机械手322将晶片传送到清洗池310、312、314、316或318中的任何一个,以便清洗并烘干晶片。最后,机械手322将晶片传送到晶片盒320,并再次开始处理另一个晶片。
然而,应该承认的是,对于晶片加工工具的构造能够作出各种各样的修正而不偏离本发明的构思和/或范围。例如,可以在分立的池中执行开始的晶片电镀和电抛光。通常,不同的电解液被用于电镀和电抛光。对于电镀,通常使用硫酸。对于电抛光,通常使用磷酸。虽然硫酸可以被用于电抛光,但得到的表面可能不均匀。同样,虽然磷酸可以被用于电镀,但得到的表面可能不均匀。对于上述的重新电镀工艺,不均匀的表面可以是可接受的。但对于金属层121的一开始的电镀,不均匀的表面可能是不可接受的。因此,当偏爱均匀的表面时,可以在具有不同的化学物质的分立的池中执行晶片的电镀和电抛光。作为变通,当在同一个池中执行电镀和电抛光时,可以改变池中的电解液的化学组成。例如,对于上述的重新电镀工艺,可以加入硫酸溶液,以便有利于更好的电镀工艺。
参照图2,晶片加工工具301执行的加工步骤以流程图格式被列出。但应该承认的是,对图2中的流程图所示的步骤可以作出各种各样的修正。例如,晶片在重新电镀步骤之后可以排队等候,然后成批地冲洗和清洗。
参照图4A-4D,对于重新电镀晶片31之后用CMP抛光晶片31的一种变通是用任何的常规腐蚀工艺来从晶片31腐蚀金属层121和势垒层122。因此,参照图6A-6C,晶片加工工具301可以被修正成包括腐蚀池326。同样,参照图5,晶片加工工具301执行的加工步骤可以被修正成包括腐蚀步骤。
在下面的描述以及相关的附图中,将描述根据本发明的各种情况的各种变通实施例。但应该承认的是,这些变通实施例不是用来演示能够对本发明作出的所有的各种修正。相反,这些变通实施例是用来仅仅演示可能的不偏离本发明的构思和/或范围的许多修正中的一些。
现参照图11A和11B,示出了根据本发明的各种情况的本发明的一个变通实施例。图11A和11B的实施例,除了LMFC 21、22和23(图7A和7B)已经被LMFC 55以及阀门51、52和53代替之外,相似于图7A和7B的实施例。在本变通实施例中,阀门51、52和53最好是开/关阀门。LMFC 55的流速设定最好可以基于各个阀门的如下状态来确定:
LMFC 55的流速设定=F.R.3×f(阀门51)+
                   F.R.2×f(阀门52)+
                   F.R.1×f(阀门53)
其中,F.R.3是入口4的流速设定点,F.R.2是入口6的流速设定点,F.R.3是入口8的流速设定点,而f(阀门#)是如下定义的阀门状态功能:
阀门#被开通时,f(阀门#)=1;
阀门#被关闭时,f(阀门#)=0。
如上所述,流速可以被设定为正比于区段115、113和111的体积。
现参照图12A和12B,示出了根据本发明的各种情况的本发明的另一个变通实施例。图12A和12B的实施例,除了LMFC 21、22和23(图7A和7B)已经被3个泵33以及开/关阀门51、52和53代替之外,相似于图7A和7B的实施例。在本变通实施例中,电解液34通过入口4、6和8到抛光槽100中的传送最好可以用3个泵33中的一个以及一个开/关阀门51、52和53来独立控制。
现参照图13A和13B,示出了根据本发明的各种情况的本发明的又一个变通实施例。与图7A和7B所示的示例性实施例对照,在本变通实施例中,阴极最好排列在抛光槽100的除区段132之外的每一个区段中。例如,额外的阴极4被适当地排列在区段壁103与105之间。此外,开/关阀门81、82、83和84被适当地排列在电解液容器36与LMFC 21、22、23和24的出口之间。因此,当开/关阀门81、82、83或84处于开通位置时,电解液34能够适当地通过开通的阀门从抛光槽100流回到电解液容器36中。
利用上述的本变通实施例,下列工艺步骤可以被适当地用来选择性地电抛光部分晶片31:
步骤1:开启电源14;
步骤2:打开LMFC 24并打开阀门81、82和83。关闭LMFC 21、22、23并关闭阀门84,致使电解液34仅仅接触到阴极1上方的部分晶片31。然后电解液34通过适当地制作在区段130中的出口132回到电解液容器36。电解液34还通过开通的阀门81、82和83回到电解液容器34;
步骤3:当金属层121(图1A)的厚度达到设定数值或设定厚度时,关断电源14并关闭LMFC 24;
步骤4:对阴极2重复步骤1-3(打开LMFC 23。打开阀门81、82和84。开启电源13。关闭LMFC 21、22和24。关闭阀门83。关断电源11、12和14);
步骤5:对阴极3重复步骤1-3(打开LMFC 22。打开阀门81、83和84。开启电源12。关闭LMFC 21、23和24。关闭阀门82。关断电源11、13和14);以及
步骤6:对阴极4重复步骤1-3(打开LMFC 21。打开阀门82、83和84。开启电源11。关闭LMFC 22、23和24。关闭阀门81。关断电源12、13、14)。
应该承认的是,除了从晶片外围到晶片中心抛光,也能够从中心到外围执行抛光,或可以借助于随机选择阴极顺序而执行抛光。
除了选择性地电抛光部分晶片31之外,利用上述的本变通实施例,下列的工艺步骤能够被用来同时电抛光晶片31的整个表面:
步骤1:开启电源11、12、13和14。如上所述,各个电源11、12、13和14的电流能够被适当地设定为正比于被相应的阴极覆盖的晶片31的表面积;
步骤2:打开LMFC 21、22、23和24并关闭阀门81、82、83、84。也如上所述,来自LMFC 21、22、23和24的电解液34的流速能够被适当地设定为正比于被相应的阴极覆盖的晶片31的表面积;以及
步骤3:当金属层121(图1A)达到设定数值或设定厚度时,同时关断电源11、12、13和14。也可以在不同的时间关断电源11、12、13和14,以便调整金属层121(图1A)的厚度均匀性。
现参照图14A和14B,示出了根据本发明的各种情况的本发明的另一个变通实施例。图14A和14B的实施例,除了开/关阀门81、82、83和84(图13A和13B)已经被除去之外,相似于图13A和13B的实施例。因此,电解液34仅仅通过区段130回到电解液容器36。
利用上述的本变通实施例,下列工艺步骤可以被适当地用来选择性地电抛光部分晶片31:
步骤1:开启电源14,以便将负电位输出到电极1(阴极1)。开启电源11、12和13,以便将正电位分别输出到电极4、3、和2(阳极4、3和2);
步骤2:仅仅打开LMFC 24并关闭LMFC 21、22和23。将晶片31浸渍到电解液34中,但仅仅阴极1上方的晶片31部分接触到来自LMFC 24的电解液34以及来自阴极1的负电位。因此,仅仅阴极1上方的部分金属层121(图1A)被适当地电抛光;
步骤3:当金属层121(图1A)达到设定数值或设定厚度时,关断电源14并关闭LMFC 24;
步骤4:对阴极2重复步骤1-3(开启电源13,以便将负电位输出到阴极2,以及开启电源11、12和14,以便将正电位分别输出到阳极4、3和1。打开LMFC 23,并关闭LMFC 21、22和24);
步骤5:对阴极3重复步骤1-3(开启电源12,以便将负电位输出到阴极3。开启电源11、13和14,以便将正电位分别输出到阳极4、2、和1。打开LMFC 22,并关闭LMFC 21、23和24);以及
步骤6:对阴极4重复步骤1-3(开启电源11,以便将负电位输出到阴极4。开启电源12、13和14,以便将正电位分别输出到阳极1、2和3。打开LMFC 21,并关闭LMFC 22、23和24)。
在上述选择性抛光工艺中,代替从晶片31的中心到晶片31的外围进行抛光,也能够从外围到中心执行抛光,或可以根据阴极顺序随机地执行抛光。
除了选择性地电抛光部分晶片31之外,利用上述的本变通实施例,下列的工艺步骤能够被用来同时电抛光晶片31的整个表面:
步骤1:开启电源11、12、13和14。如上所述,各个电源11、12、13和14的电流能够被适当地设定为正比于被相应的阴极覆盖的晶片31的表面积;
步骤2:打开LMFC 21、22、23和24。也如上所述,来自LMFC21、22、23和24的电解液34的流速能够被适当地设定为正比于被相应的阴极覆盖的晶片31的表面积;以及
步骤3:当金属层121(图1A)达到设定数值或设定厚度时,同时关断电源11、12、13和14。也可以在不同的时间关断电源11、12、13和14,以便调整金属层121(图1A)的厚度均匀性。
现参照图15,示出了根据本发明的各种情况的本发明的另一个变通实施例。图15的实施例,除了在各个阴极上方加入了扩散环112之外,相似于图7A和7B的实施例。根据本发明的一种情况,扩散环112最好有利于电解液34沿区段壁109、107、105和103更均匀的流动。因此,金属层121(图1A)能够被适当地更均匀地从晶片31电抛光。
此外,可以用任何常规方法来适当地制作扩散环112。例如,扩散环112可以被机加工成具有大量的孔。或者,扩散环112可以包括具有最好在大约10%到大约90%范围内的多孔性的适当的多孔材料。此外,在本变通实施例中,扩散环112最好由抗酸、抗腐蚀、无颗粒和沾污的材料制成。
现参照图16A和16B,示出了根据本发明的各种情况的本发明的另一个变通实施例。图16A和16B的实施例,除了电荷累积计141、142和143已经分别被加入到电源11、12和13之外,相似于图7A和7B的实施例。根据本发明的一种情况,电荷累积计141、142和43最好测量电抛光过程中各个电源11、12和13提供的电荷。借助于用2除积累的电荷,能够计算被清除的铜原子的总数。被清除的铜原子的总数则可以被用来确定有多少铜原子仍然需要被电抛光。
现参照图17A和17B,示出了根据本发明的各种情况的本发明的另一个变通实施例。图17A和17B的实施例,除了抛光槽100适当地包括多个适当地排列在区段113和115中的用来输送电解液34的入口171、172、174和175之外,相似于图7A和7B的实施例。更确切地说,在本变通实施例中,电解液34最好通过供料管170以及入口171和172被输送到区段113中。电解液34最好通过电解液供料管173以及入口174和175被输送到区段115中。借助于用多个入口171、172、174和175将电解液34输送到抛光槽100,能够最佳地得到更均匀的流动分布。而且,应该承认的是,区段113和115能够包括任何数目的额外的入口。
现参照图18A和18B,示出了根据本发明的各种情况的本发明的二个额外的变通实施例。图18A的实施例,除了沿径向向外增大了区段壁109、107、105和103的高度之外,相似于图13A和13B以及图14A和14B的实施例。对比之下,在图18B的实施例中,区段壁109、107、105和103的高度沿径向向外减小。以这种方式,能够进一步控制电解液34的流动图形,从而增强电抛光工艺。
现参照图19A和19B,示出了根据本发明的各种情况的本发明的二个额外的变通实施例。图19A的实施例,除了沿径向向外增大了区段壁109、107、105、103和101的高度之外,相似于图7A和7B的实施例。对比之下,在图19B的实施例中,区段壁109、107、105、103和101的高度沿径向向外减小。以这种方式,能够进一步控制电解液34的流动图形,从而增强电抛光工艺。
现参照图20A和20B,示出了根据本发明的各种情况的本发明的另一个变通实施例。图20A和20B的实施例,除了区段壁109、107、105、103和101被构造成向上移动和向下移动,以调整电解液34的流动图形之外,相似于图7A和7B的实施例。如图20B所示,区段壁105和107可以向上移动,致使电解液34向区段壁105和107上方的晶片31部分流动。
利用上述的本变通实施例,下列工艺步骤可以被适当地用来选择性地电抛光部分晶片31:
步骤1:开启电源13;
步骤2:打开LMFC 23并将区段壁109移动到靠近晶片31,致使电解液34仅仅接触到区段壁109上方的部分晶片31。以这种方式,区段壁109上方的部分晶片31上的金属层121(图1A)被适当地电抛光;
步骤3:当金属层121(图1A)达到设定数值或设定厚度时,关断电源13,关闭LMFC 23,并移动区段壁109到比较低的位置;
步骤4:用LMFC 22、区段壁105和107以及电源12,对区段壁105和107重复步骤1-3;以及
步骤5:用LMFC 21、区段壁101和103以及电源11,对区段壁101和103重复步骤1-3。
除了选择性地电抛光部分晶片31之外,利用上述的本变通实施例,下列的工艺步骤能够被用来同时电抛光晶片31的整个表面:
步骤1:开启电源11、12和13。如上所述,各个电源11、12和13的电流能够被适当地设定为正比于被相应的阴极覆盖的晶片31的表面积;
步骤2:打开LMFC 21、22和23,并将所有的区段壁101、103、105、107和109移动到邻近晶片31。也如上所述,来自LMFC 21、22和23的电解液34的流速能够被适当地设定为正比于被相应的阴极覆盖的晶片31的表面积;以及
步骤3:当金属层121(图1A)达到设定数值或设定厚度时,同时关断电源11、12和13。也可以在不同的时间关断电源11、12和13,以便调整金属层121(图1A)的厚度均匀性。
现参照图21A和21B,示出了根据本发明的各种情况的本发明的二个额外的变通实施例。图21A的实施例,除了在本变通实施例中,阴极1、2、3和4以及区段壁109、107、105和103被分隔成6个区段之外,相似于图7A和7B的实施例。图21B的实施例,除了在本变通实施例中,阴极1、2和3以及区段壁109、107、105、103和101被分隔成6个区段之外,相似于图13A和13B的实施例。但应该承认的是,对于图21A和21B的二个实施例,能够使用任何数目的区段而不偏离本发明的构思和/或范围。
此外,如下表中所述,以各种各样的组合,各个阴极可以被连接到一个或多个电源,而各个区段可以被连接到一个或多个LMFC:
表2
  组合号 连接阴极到一个或多个电源的各种方法 连接一个或多个区段到一个或多个LMFC的各种方法
    1 各个阴极被连接到一个独立的电源 各个区段被连接到一个独立的LMFC
    2 各个阴极被连接到一个独立的电源 相同半径上的各个区段被连接到一个独立的LMFC
    3 各个阴极被连接到一个独立的电源 所有的区段被连接到一个独立的LMFC
    4 相同半径上的各个阴极被连接到一个独立的电源 各个区段被连接到一个独立的LMFC
    5 相同半径上的各个阴极被连 相同半径上的各个区段被连接
    接到一个独立的电源     到一个独立的LMFC
    6 相同半径上的各个阴极被连接到一个独立的电源 所有的区段被连接到一个独立的LMFC
    7 所有的阴极被连接到一个独立的电源 各个区段被连接到一个独立的LMFC
    8 所有的阴极被连接到一个独立的电源 相同半径上的各个区段被连接到一个独立的LMFC
    9 所有的阴极被连接到一个独立的电源 所有的区段被连接到一个独立的LMFC
在上述的表中,组合号1、2、4和5的操作与早先结合各种变通实施例所述的相同。下面将结合各种其它的变通实施例来更详细地描述组合号3、6、7、8和9的操作。
现参照图22A和22B,示出了根据本发明的各种情况的本发明的另一个变通实施例。图22A和22B的实施例,除了阴极1、2和3(图7A和7B)以及区段壁109、107、105、103和101(图7A和7B)已经被适当地排列在多个管503中的多个棒状阴极501代替之外,相似于图7A和7B的实施例。在本变通实施例中,电解液34(图7B)最好通过多个管503被输送到电抛光槽100,接触到晶片31的表面,然后通过多个漏出孔500从电抛光槽100漏出。如图22A所示,在本变通实施例中,各个阴极501、多个管503、以及多个漏出孔500最好被安排成圆形图形。然而,参照图23A-23C,各个阴极501、多个管503、以及多个漏出孔500也可以被构造成各种其它的图形,诸如三角形(图23A)、正方形(图23B)、椭圆形(图23C)之类。
此外,如下表所述,各个阴极501和多个管503能够以各种组合分别被连接到电源11、12和13(图7B)以及LMFC 21、22和23(图7B):
表3
  组合号 连接阴极501到一个或多个电源的各种方法 连接多个管503到一个或多个LMFC的各种方法
    1 各个阴极被连接到一个独立的电源   各个管被连接到一个独立的LMFC
    2 各个阴极被连接到一个独立的电源 相同半径上的各个管被连接到一个独立的LMFC
    3 各个阴极被连接到一个独立的电源 所有的管被连接到一个独立的LMFC
    4 相同半径上的各个阴极被连接到一个独立的电源   各个管被连接到一个独立的LMFC
    5 相同半径上的各个阴极被连接到一个独立的电源 相同半径上的各个管被连接到一个独立的LMFC
    6 相同半径上的各个阴极被连接到一个独立的电源 所有的管被连接到一个独立的LMFC
    7 所有的阴极被连接到一个独立的电源   各个管被连接到一个独立的LMFC
    8 所有的阴极被连接到一个独立的电源 相同半径上的各个管被连接到一个独立的LMFC
    9 所有的阴极被连接到一个独立的电源 所有的管被连接到一个独立的LMFC
在上述的表中,组合号1、2、4和5的操作与早先结合各种变通实施例所述的相同。下面将结合各种其它的变通实施例来更详细地描述组合号3、6、7、8和9的操作。
现参照图24A和24B,示出了根据本发明的各种情况的本发明的另一个变通实施例。图24A和24B的实施例,除了阴极1、2和3(图7A和7B)以及区段壁109、107、105、103和101(图7A和7B)已经被阴极240、棒242和阀门202、204、206、208、210、212、214、216和218代替之外,相似于图7A和7B的实施例。在本变通实施例中,电源的数目已经被减少到电源200。此外,阀门202、204、206、208、210、212、214、216和218最好是用来控制电解液34到晶片31上的流动的开/关阀门。而且,阀门202、204、206、208、210、212、214、216和218被对称地排列在棒242上,以有利于更均匀的电抛光工艺。
利用上述的本变通实施例,下列工艺步骤可以被适当地用来选择性地电抛光部分晶片31:
步骤1:开启电源200;
步骤2:打开泵33、LMFC 55和驱动机构30。打开阀门202和218,致使电解液34仅仅接触到阀门202和218上方的部分晶片31。以这种方式,阀门202和218上方的部分晶片31上的金属层121(图1A)被电抛光;
步骤3:当金属层121(图1A)达到设定数值或设定厚度时,关断电源200、LMFC 55以及阀门202和218;
步骤4:对阀门204和216重复步骤1-3;
步骤5:对阀门206和214重复步骤1-3;
步骤6:对阀门208和212重复步骤1-3;以及
步骤7:对阀门210重复步骤1-3。
在上述抛光工艺过程中,如图8所示,电源200能够以DC模式或以各种脉冲模式工作。也可以在打开泵33以及阀门201和216,或204和214,或206和212,或210之后开启电源。
除了选择性地电抛光部分晶片31之外,利用上述的本变通实施例,下列工艺步骤可以被用来同时电抛光晶片31的整个表面:
步骤1:开启电源200;
步骤2:打开LMFC 55和所有的阀门202、204、206、208、210、212、214、216和218,致使电解液34基本上接触到晶片31的整个表面;以及
步骤3:当薄膜厚度达到设定数值时,关断电源200和所有的阀门。也可以在不同的时间关断阀门202、204、206、208、210、212、214、216和218,以便调整晶片31上的金属层121(图1A)的厚度均匀性。
现参照图25,示出了根据本发明的各种情况的本发明的另一个变通实施例。图25的实施例,除了所有的阀门被排列在棒242上的不同半径处,以有利于更均匀的电抛光之外,相似于图24A和24B的实施例。
利用上述的本变通实施例,下列工艺步骤可以被适当地用来选择性地电抛光部分晶片31:
步骤1:开启电源200(图24B);
步骤2:打开泵33(图24B)、LMFC 55(图24B)和驱动机构30(图24B)。打开阀门218,致使电解液34仅仅接触到阀门218上方的部分晶片31。以这种方式,阀门218上方的部分晶片31上的金属层121(图1A)被电抛光;
步骤3:当金属层121(图1A)达到设定数值或设定厚度时,关断电源200(图24B)、LMFC 55(图24B)和阀门218;
步骤4:对阀门204重复步骤1-3;
步骤5:对阀门216重复步骤1-3;
步骤6:对阀门206重复步骤1-3;以及
步骤7:对阀门214、208、212和210分别重复步骤1-3。
在上述抛光工艺过程中,如图8所示,电源200(图24B)能够以DC模式或以各种脉冲模式工作。作为变通,电抛光顺序可以从晶片31的中心开始,到晶片31的边沿,而不偏离本发明的构思和/或范围。
除了选择性地电抛光部分晶片31之外,利用上述的本变通实施例,下列工艺步骤可以被用来同时电抛光晶片31的整个表面:
步骤1:开启电源200(图24B);
步骤2:打开LMFC 55(图24B)和所有的阀门202、204、206、208、210、212、214、216和218,致使电解液34基本上接触到晶片31的整个表面区域;以及
步骤3:当薄膜厚度达到设定数值时,关断电源200(图24B)和所有的阀门。也可以在不同的时间关断阀门202、204、206、208、210、212、214、216和218,以便调整晶片31(图24B)上的金属层121(图1A)的厚度均匀性。
现参照图26,示出了根据本发明的各种情况的本发明的另一个变通实施例。图26的实施例,除了已经增加额外的棒以形成交叉形状的棒244之外,相似于图25的实施例。阀门202、218、204和216、206和214、208和212被对称地置于棒244的水平部分(如图26所示)。同样,阀门220和236、222和234、224和232被对称地置于棒244的垂直部分(如图26所示)。此外,如图26所示,棒244水平部分上的阀门被排列在不同于棒244垂直部分上的阀门的半径处。
利用上述的本变通实施例,下列工艺步骤可以被适当地用来选择性地电抛光部分晶片31:
步骤1:开启电源200(图24B);
步骤2:打开泵33(图24B)、LMFC 55(图24B)和驱动机构30(图24B)。打开阀门218和202,致使电解液34接触到阀门218和202上方的部分晶片31。以这种方式,阀门218和202上方的部分晶片31上的金属层121(图1A)被电抛光;
步骤3:当金属层121(图1A)达到设定数值或设定厚度时,关断电源200(图24B)、LMFC 55(图24B)、阀门218和202;
步骤4:对阀门220和236重复步骤1-3;
步骤5:对阀门204和216重复步骤1-3;
步骤6:对阀门222和234重复步骤1-3;以及
步骤7:仅仅对阀门206和214、224和232、208和212、以及210分别重复步骤1-3。
在上述抛光工艺过程中,如图8所示,电源200(图24B)能够以DC模式或以各种脉冲模式工作。
除了选择性地电抛光部分晶片31之外,利用上述的本变通实施例,下列工艺步骤可以被用来同时电抛光晶片31的整个表面:
步骤1:开启电源200(图24B);
步骤2:打开泵33(图24B)、LMFC 55(图24B)和所有的阀门202、204、206、208、210、212、214、216、218、220、222、224、232、234和236,致使电解液34仅仅基本上接触到晶片31的整个表面区域;以及
步骤3:当金属层121(图1A)的厚度达到设定数值时,关断电源200(图24B)和所有阀门。可以在不同的时间关断所有阀门202、204、206、208、210、212、214、216、218、220、222、224、232、234和236,以便调整晶片31(图24B)上的金属层121(图1A)的厚度均匀性。
现参照图27A、27B和27C,示出了根据本发明的各种情况的本发明的三个额外的变通实施例。图27A的实施例,除了在本变通实施例中,采用3个棒之外,相似于图24A和24B的实施例。二个相邻的棒之间的夹角最好约为60度。图27B的实施例,除了采用4个棒之外,相似于图24A和24B的实施例。二个相邻的棒之间的夹角最好约为45度。图27C的实施例,除了采用半个棒之外,相似于图24A和24B的实施例。但应该承认的是,能够使用任何数目的棒而不偏离本发明的构思和/或范围。此外,相邻的棒能够被设定为各种角度而不偏离本发明的构思和/或范围。
在迄今所述的变通实施例中,电抛光顺序能够从靠近晶片31的外围的阀门开始,或从晶片31的中心开始,或随机地开始。从晶片31的中心开始较好,因为未被抛光的金属层121(图1A)(具有比较大的直径)能够被用来为抛光金属层121的下一部分(图1A)(具有比较小的直径)传导电流。
现参照图28A和28B,示出了根据本发明的各种情况的本发明的另一个变通实施例。图28A和28B的实施例,除了位置固定的阀门202、204、206、208、210、212、214、216和218已经被二个可移动的喷射器254代替之外,相似于图24A和24B的实施例。可移动的喷射器254被排列成邻近晶片31,并将电解液34涂敷到晶片31的特定部分上。如图28A和28B所示,可移动的喷射器254还位于导杆250上,并能够沿X方向运动。此外,在本示例性实施例中,新鲜的电解液通过柔性管258提供。
利用上述的本变通实施例,下列工艺步骤可以被适当地用来选择性地电抛光部分晶片31:
步骤1:开启电源200;
步骤2:打开泵33、LMFC 55和驱动机构30。打开阀门356,致使电解液34仅仅接触到阀门356上方的部分晶片31。以这种方式,阀门356上方的部分晶片31上的金属层121(图1A)被适当地电抛光;
步骤3:当金属层121(图1A)达到设定数值或设定厚度时,关断电源200、LMFC 55和阀门356;
步骤4:将阴极喷射器254移动到下一个位置;以及
步骤5:重复步骤1-4,直至金属层121(图1A)从晶片31已经被电抛光。
现参照图29A和29B,示出了根据本发明的各种情况的本发明的另一个变通实施例。图29A和29B的实施例,除了沿Y方向增加了二个额外的可移动的阴极喷射器,以便提高抛光速度之外,相似于图28A和28B的实施例。但工艺顺序相似于图28A和28B的顺序。
现参照图30A和30B,示出了根据本发明的各种情况的本发明的另一个变通实施例。图30A和30B的实施例,除了晶片31被浸入电解液34中之外,相似于图28A和28B的实施例。可移动的喷射器254被排列成邻近晶片31,以便将抛光电流集中在晶片31的特定部分上。在本变通实施例中,可移动的喷射器254与晶片31之间的间隙可以在大约0.1mm到大约5mm的范围内,最好是大约1mm。工艺顺序仍然相似于图28A和28B的顺序。
现参照图31A和31B,示出了根据本发明的各种情况的本发明的另一个变通实施例。图31A和31B的实施例,除了新鲜的电解液34能够通过管道260代替通过柔性管258的可移动的喷射器252和254来输送之外,相似于图30A和30B的实施例。晶片31也能够浸入到电解液34中,且可移动的喷射器252和254能够被排列成邻近晶片31,以便将抛光电流集中在晶片31的特定部分上。在本变通实施例中,可移动的喷射器252和254与晶片31之间的间隙可以在大约0.1mm到大约5mm的范围内,最好是大约1mm。工艺顺序仍然相似于图28A和28B的顺序。
现参照图32A、32B、32C和32D,示出了根据本发明的各种情况的本发明的四个额外的变通实施例。图32A示出了最好包括阴极252和外壳262的可移动的喷射器。外壳262可以由诸如特富龙、CPVC、PVDF、聚丙烯之类的绝缘材料适当地制成。图32B示出了由阴极266和外壳264组成的可移动的喷射器。电解液34可以通过适当地制作在外壳264底部的孔被输送。图32C示出了最好包括阴极252、电极274和270、绝缘间隔272、外壳262以及电源276和268的可移动的喷射器。电极274可以适当地被连接到电源276的负输出,而电极270可以被连接到晶片31。根据本发明的一种情况,电极274最好捕获从外壳262流出的金属离子,因此降低外壳262外面区域中的薄膜聚集。此外,电极270最好防止电场从电极274泄漏,以便尽可能减小腐蚀效应。图32D的实施例,除了外壳264在底部具有用于电解液34的孔之外,相似于图32C的实施例。
现参照图34A、34B、34C和34D,示出了根据本发明的各种情况的本发明的四个额外的变通实施例。图34A的实施例,除了最好使用3个棒之外,相似于图28A和28B的实施例。二个相邻的棒之间的夹角最好约为60度。图34B的实施例,除了最好采用4个棒之外,相似于图28A和28B的实施例。二个相邻的棒之间的夹角最好约为45度。图34C的实施例,除了采用半个棒之外,相似于图28A和28B的实施例。再次应该承认的是,能够使用任何数目的棒而不偏离本发明的构思和/或范围。此外,任何二个相邻的棒能够被任何所希望的角度分隔开而不偏离本发明的构思和/或范围。图34D的实施例,除了直的棒被螺旋形棒代替之外,相似于图28A和28B的实施例。
现参照图35,示出了根据本发明的各种情况的本发明的二个额外的变通实施例。图35A和35B的实施例,除了晶片31能够分别被倒过来和垂直地放置之外,相似于图28A和28B的实施例。
现参照图36A和36B,示出了根据本发明的各种情况的本发明的另一个变通实施例。图36A和36B的实施例,除了所有的阴极被单片阴极8代替之外,相似于图14A和14B的实施例。在本变通实施例中,阴极8能够被适当地连接到单一电源11。而且,本变遍实施例包括子抛光槽60、62、64和66。
利用上述的本变通实施例,下列工艺步骤可以被适当地用来选择性地电抛光部分晶片31:
步骤1:开启电源11;
步骤2:打开LMFC 21以及阀门82、83和84,关闭LMFC 22、23、24和阀门81,致使电解液34仅仅接触到子抛光槽66上方的部分晶片31,然后通过区段壁100和103、103和105、105和107、107和109之间的空间流回到电解液容器36。以这种方式,金属层121(图1A)被适当地从子抛光槽66上方的部分晶片31电抛光;
步骤3:当金属层121(图1A)达到设定数值或设定厚度时,关断电源11,并关闭LMFC 21;
步骤4:对LMFC 22重复步骤1-3(打开LMFC 22、阀门81、83、84和电源11,并关闭LMFC 21、23和24、阀门82);
步骤5:对LMFC 23重复步骤1-3(打开LMFC 23、阀门81、82、84和电源11,并关闭LMFC 21、22和24以及阀门83);以及
步骤6:对LMFC 24重复步骤1-3(打开LMFC 24、阀门81、82、83和电源11,并关闭LMFC 21、22和23、阀门84)。
在上述的抛光工艺中,代替从晶片31的外围到晶片31的中心的抛光,也能够从中心到外围执行抛光,或能够随机地选择各种阴极顺序来执行。
除了选择性地电抛光部分晶片31之外,利用上述的本变通实施例,下列工艺步骤可以被用来同时电抛光晶片31的整个表面:
步骤1:开启电源11;
步骤2:打开LMFC 21、22、23和24,并关闭阀门81、82、83和84。来自各个LMFC 21、22和23的电解液34的流速能够被适当地设定为正比于被相应的阴极覆盖的晶片31的表面积;以及
步骤3:当金属层121(图1A)达到设定数值或设定厚度时,关闭电源11以及LMFC 21、22、23和24。也可以在不同的时间关断电源11、12和13,以便调整金属层121(图1A)的厚度均匀性。
如图37所示,可以在不同的时间关闭LMFC,以便调整金属层121(图1A)的厚度均匀性。在时间t1,仅仅LMFC 21、23和24被关闭,阀门81、83和84也被关闭。因此,除了子抛光槽64上方的晶片31部分外,电解液34不接触到晶片31。由于电源11仍然开通,故金属层121(图1A)能够从子抛光槽64上方的晶片31部分被适当地电抛光。在时间t2,LMFC 22被关闭。同样,LMFC 24在时间t3被打开,并在时间t4被关闭,以便得到子抛光槽60上方的晶片31部分的额外电抛光。借助于测量晶片厚度均匀性,能够精细地调节时间t2和t4。
现参照图38A和38B,示出了根据本发明的各种情况的本发明的另一个变通实施例。图38A和38B的实施例,除了所有的阴极被连接到单一的电源11之外,相似于图7A和7B的实施例。此外,本实施例包括子抛光槽60、62、64、66、68和70。由于电解液仅仅接触到正被选择性地电抛光的晶片31部分,故大部分抛光电流将来自阴极并流到晶片31的这一部分。以电源11代替电源12和13,抛光工艺步骤相似于图7A和7B的步骤。
现参照图39A和39B,示出了根据本发明的各种情况的本发明的另一个变通实施例。图39A和39B的实施例,除了区段壁101、103、105、107和109能够上下运动以调整流动图形之外,相似于图38A和38B的实施例。区段壁105和107被向上移动,致使电解液流向区段壁105和107上方的晶片31部分。
利用上述的本变通实施例,下列工艺步骤可以被适当地用来选择性地电抛光部分晶片31:
步骤1:开启电源11;
步骤2:仅仅打开LMFC 21,并将区段壁101和103移动到靠近晶片31,致使电解液34仅仅接触到区段壁101和103上方的部分晶片31,以这种方式,区段壁101和103上方的部分晶片31上的金属层121(图1A)被适当地电抛光;
步骤3:当金属层121(图1A)达到设定数值或设定厚度时,关断电源11,关闭LMFC 21,并将区段壁101和103移动到较低的位置;
步骤4:用LMFC 22以及区段壁105和107,分别对区段壁105和107重复步骤1-3;
步骤5:用LMFC 23和区段壁109,对区段壁109重复步骤1-3。
除了选择性地电抛光部分晶片31之外,利用上述的本变通实施例,下列工艺步骤可以被用来同时电抛光晶片31的整个表面:
步骤1:开启电源11;
步骤2:打开LMFC 21、22和23,并将所有的区段壁101、103、105和107以及管109移动到靠近晶片31。来自各个LMFC 21、22、23和24的电解液34的流速能够被适当地设定为正比于被相应的阴极覆盖的晶片31的表面积;以及
步骤3:将所有的区段壁向下移动到较低的位置,并同时关闭所有的LMFC,然后关闭电源11。各个成对的区段壁在电源11开通的情况下也可以在不同的时间被向下移动,以便调整厚度均匀性。例如,如图39A和39B所示,区段壁105和107在LMFC 22开通时被保持在较高的位置。晶片31将在区段壁105与107之间的区域内被选择性地电抛光。
现参照图40A和40B,示出了根据本发明的各种情况的本发明的另一个变通实施例。图40A和40B示出了具有用来抛光直接在顶部具有势垒层的衬底上的金属层121(图1A)的多个电源和单个LMFC的实施例。图40A和40B的实施例,除了LMFC21、22、23和24被单个LMFC 55代替之外,相似于图14A和14B的实施例。
利用上述的本变通实施例,下列工艺步骤可以被适当地用来选择性地电抛光部分晶片31:
步骤1:开启电源11,以便将负电位输出到电极4,并开启电源12、13和14,以便将正电位或零电位分别输出到电极3、2和1;
步骤2:打开LMFC 55,从而将整个晶片浸入电解液34中。以这种方式,将仅仅从阴极4上方的部分晶片31抛光掉金属层121(图1A);
步骤3:当金属层121(图1A)达到设定数值或设定厚度时,关断电源11;
步骤4:对阴极3重复步骤1-3(开启电源12,以便将正电位输出到阴极3,并开启电源11、13和14,以便将负电位输出到阴极4、2和1);
步骤5:对阴极2重复步骤1-3(开启电源13,以便将正电位输出到阴极2,并开启电源11、12和14,以便将负电位输出到阴极4、3和1);以及
步骤6:对阴极1重复步骤1-3(开启电源14,以便将正电位输出到阴极1,并开启电源11、12和13,以便将负电位输出到阴极4、3和2)。
图41示出了用来抛光晶片区域4(阴极4上方)、3、2和1的电源开通/关闭顺序。如图42所示,可以从诸如修正的正弦波形、单极脉冲、反脉冲、脉冲上脉冲、或双脉冲之类的各种各样的波形中选择电源的输出波形。
在上述的选择性电抛光工艺中,代替从晶片的外围到中心的电抛光,也能够从中心到外围执行电抛光,或能够借助于选择任意的阴极顺序而随机地执行电抛光。
除了选择性地电抛光部分晶片31之外,利用上述的本变通实施例,下列工艺步骤可以被用来同时电抛光晶片31的整个表面:
步骤1:开启电源11、12、13和14。各个电源11、12、13和14的电流能够被适当地设定为正比于被相应的阴极覆盖的晶片31的表面积;
步骤2:打开LMFC 55;以及
步骤3:当金属层121(图1A)达到设定数值或设定厚度时,同时关闭电源11、12、13和14。也可以在不同的时间关闭电源11、12、13和14,以便调整金属层121(图1A)的厚度均匀性。
现参照图43A和43B,示出了根据本发明的各种情况的本发明的另一个变通实施例。图43A和43B示出了具有用来抛光直接在顶部具有势垒层122(图1A)的衬底123(图1A)上的金属层121(图1A)的多个电源和单个LMFC的装置的实施例。图43A和43B的实施例,除了区段壁能够上下移动以调整流动图形之外,相似于图40A和40B的实施例。如图43A和43B所示,区段壁105和107能够向上移动,致使电解液流向壁105和107上方的晶片31部分。
利用上述的本变通实施例,下列工艺步骤可以被适当地用来选择性地电抛光部分晶片31:
步骤1:开启电源11;
步骤2:打开LMFC 55,并将区段壁101和103移动到邻近晶片31,致使电解液34仅仅接触到区段壁101和103上方的晶片31部分。以这种方式,区段壁101和103上方的部分晶片31上的金属层121(图1A)被适当地电抛光;
步骤3:当金属层121(图1A)达到设定数值或设定厚度时,关断电源11,并将区段壁101和103移动到较低的位置;
步骤4:用区段壁105和107以及电源12,对区段壁105和107重复步骤1-3;以及
步骤5:用区段壁109和电源13,对区段壁109重复步骤1-3。
除了选择性地电抛光部分晶片31之外,利用上述的本变通实施例,下列工艺步骤可以被用来同时电抛光晶片31的整个表面:
步骤1:开启电源11、12和13。通过各个电源11、12和13的电流能够被适当地设定为正比于被相应的阴极覆盖的晶片31的表面积;
步骤2:打开LMFC 55,并将所有的区段壁101、103、105、107和区段壁109移动到靠近晶片31;以及
步骤3:当金属层121(图1A)的厚度均匀性达到设定数值或设定厚度时,同时关闭电源11、12和13。也可以在不同的时间关闭电源11、12和13,以便调整金属层121(图1A)的厚度均匀性。
现参照图44A和44B,示出了根据本发明的各种情况的本发明的另一个变通实施例。图44A和44B示出了具有用来抛光直接在顶部具有势垒层122(图1A)的衬底123(图1A)上的金属层121(图1A)的单个电源和单个LMFC的装置的实施例。图44A和44B的实施例,除了使用一个电源11,且所有的阴极被连接到单个电源11之外,相似于图43A和43B的实施例。同样,区段壁能够上下移动以调整流动图形。如图44A和44B所示,区段壁105和107能够向上移动,致使电解液流向壁105和107上方的晶片部分。
利用上述的本变通实施例,下列工艺步骤可以被适当地用来选择性地电抛光部分晶片31:
步骤1:开启电源11;
步骤2:打开LMFC 55,并将区段壁101和103移动到靠近晶片31,致使电解液34仅仅接触到区段壁101和103上方的晶片31部分。以这种方式,区段壁101和103上方的部分晶片31上的金属层121(图1A)被适当地电抛光;
步骤3:当金属层121(图1A)达到设定数值或设定厚度时,关断电源11,并将区段壁101和103移动到较低的位置;
步骤4:对区段壁105和107重复步骤1-3(将区段壁105和107向上移动到靠近晶片31,并开启电源11);以及
步骤5:对区段壁109重复步骤1-3(将区段壁109向上移动到靠近晶片31,并开启电源11)。
除了选择性地电抛光部分晶片31之外,利用上述的本变通实施例,下列工艺步骤可以被用来同时电抛光晶片31的整个表面:
步骤1:开启电源11;
步骤2:打开LMFC 55,并将所有的区段壁101、103、105、107和109向上移动到靠近晶片31;以及
步骤3:将所有区段壁同时向下移动到较低的位置,然后关闭电源11。也可以在不同的时间,在电源11开通的情况下向下移动各个成对的区段壁,以便调整厚度均匀性。例如,如图44A和44B所示,区段壁105和107在电源11开通的情况下被被保持在较高的位置。区段壁105和107上方的晶片区将在此部分上具有额外的抛光膜。此额外的抛光时间长度和位置可以借助于通过稍后的薄膜性能鉴定的晶片厚度均匀性的分析而确定。
现参照图45和46,示出了根据本发明的各种情况的本发明的二个额外的变通实施例。图45和46示出了构造成具有原位薄膜厚度均匀性监视器的实施例。传感器500可以是超声型厚度测量传感器。从传感器500探测到的信号被送回到计算机502。原位厚度数据可以被用来调整或控制抛光均匀性和最终厚度。
现参照图47,示出了根据本发明的各种情况的本发明的另一个变通实施例。图47示出了构造成可独立应用的、具有自动晶片传送装置的全计算机控制的晶片处理工具的、具有晶片干燥能力的清洗模块的实施例。它最好包括5个层叠的抛光槽300、302、304、306和308、5个层叠的清洗/干燥室310、312、314、316和318、机械手322、晶片盒320和321、电解液容器36、以及管道阀门系统盒330。如上所述,抛光槽300最好包括多个阴极、多个电源、多个区段壁或管、晶片吸盘、以及在电抛光工艺过程中旋转或摆动晶片31的驱动机构。电解液容器36最好包括温度控制传感器。管道阀门系统盒330最好包括泵、LMFC、阀门、过滤器和管道阀门系统。抛光系统最好还包括计算机控制硬件和适合运行的软件包。操作工艺顺序如下所述:
步骤A:手工或用机械手装载晶片盒320和321;
步骤B:选择方法,并按下运行按钮;
步骤C:用控制软件对系统进行初始化,包括检查任何和所有系统参数,以及监测存在于系统中的任何报警;
步骤D:在完成初始化之后,机械手322从晶片盒320或321取出晶片,并将晶片送到抛光槽300、302、304、306或308中的一个;
步骤E:然后对晶片上的金属层121(图1A)进行电抛光;
步骤F:在电抛光之后,机械手322从抛光槽取出被抛光过的晶片,然后将其输送到清洗/干燥室310、312、314、316或318中的一个;
步骤G:然后对电抛光过的晶片进行清洗;
步骤H:然后用诸如旋转干燥和/或N2吹干之类的常规干燥工艺,对电抛光过的晶片进行干燥;以及
步骤I:最后,用手工或用机械手322,将干燥过的晶片输送到晶片盒320或321。
图48示出了同时抛光多个晶片的工艺顺序。抛光多个晶片的工艺顺序,除了在工艺步骤I之后计算机检查在晶片盒320或321中是否还有未被处理的晶片之外,相似于对单个晶片进行电抛光的工艺顺序。若在晶片盒320或321中没有未被处理的晶片,则系统返回到步骤A(亦即装载新的晶片盒或交换晶片盒)。若在晶片盒320和/或321中仍然有未被处理的晶片,则系统返回到步骤D(亦即机械手322从晶片盒取出未被处理的晶片,并将其输送到一个抛光槽)。
工艺步骤E最好能够包括双工艺步骤,第一工艺是选择性地电抛光晶片上的金属层121(图1A),第二工艺是同时电抛光整个晶片上的金属层121(图1A)。
代替在一个工作室中清洗晶片,清洗工艺也可以在不同的工作室中执行。清洗工艺也可以由几个步骤组成,且各个步骤可以使用不同的溶液、不同的溶液浓度或不同的硬件。
替代安排5个抛光槽和5个清洗/干燥室,如下表所示,抛光槽的数目和清洗/干燥室的数目可以从1变化到10:
表4
    类型     1     2     3     4     5     6     7     8     9
    抛光槽的数目     1     2     3     4     5     6     7     8     9
    清洗/干燥室的数目     9     8     7     6     5     4     3     2     1
根据本发明的各种情况,上述表中的类型4、5、6和7最好。
现参照图49,示出了根据本发明的各种情况的本发明的另一变通实施例。图49示出了构造成晶片抛光工具的实施例。图49的实施例,除了晶片盒能够由机械手323上下移动之外,相似于图47的实施例。晶片盒320的位置可以被上下移动,以便配合抛光槽或清洗/干燥室的位置。因此,机械手322在从晶片盒320取出未被处理的晶片时,或将抛光过的干燥晶片放回到晶片盒320时,不需要沿Z方向移动。以这种方式,能够合适地提高机械手323的操作速度。
现参照图50,示出了根据本发明的各种情况的本发明的另一变通实施例。图50所示的实施例,除了机械手322本身能够沿X方向移动之外,相似于图47的实施例。因此,机械手322不需要绕Z轴旋转。
现参照图51,示出了根据本发明的各种情况的本发明的另一变通实施例。图51所示的实施例,除了抛光槽或清洗/干燥室被置于一行之外,相似于图47的实施例。比之图47的实施例,系统的足迹减小了,但晶片生产率可能更低。
现参照图52,示出了根据本发明的各种情况的本发明的另一变通实施例。图52所示的实施例最好包括三行抛光槽和清洗/干燥室、可直线移动的机械手322、操作屏幕340、二个彼此相邻层叠的晶片盒、管道阀门系统盒330、和电解液容器36。抛光工艺步骤相似于图47所述的步骤。
图54示出了根据本发明的用来抛光金属层121(图1A)的装置的另一实施例。图54的实施例,除了多喷射器被单个喷射器255代替之外,相似于图28A和28B的实施例。此外,当晶片31沿X轴移动(左或右)时,阴极喷射器255保持不动。更确切地说,在本示例性实施例中,在晶片31被驱动装置30和导棒35基本上同时旋转和沿X轴移动的情况下,阴极喷射器255将电解液注射到晶片31的选定部分上。当晶片31被移动到左侧时,阴极喷射器255将电解液注射到晶片31的中心部分上。当晶片31被移动到右侧时,阴极喷射器255将电解液注射到晶片31的外围部分上。根据本发明的一种情况,在抛光工艺过程中,晶片31的旋转速度可以保持在恒定的速率。当驱动装置30将晶片31的中心部分从阴极喷射器255移开时,晶片31沿x轴移动的速度可以从高变到低。晶片31沿x轴的这一速度(Vx)可以表示如下:
Vx=C/[π(x+r)2]                 x<r时
    C/{π[(x+r)2-(x-r)2]}        x>r时
其中C是常数,x是在x轴方向上晶片31的中心与阴极喷射器255之间的距离,而r是阴极喷射器255造成的液柱的半径。
然而,应该承认的是,对晶片抛光槽的构造能够作出各种各样的修正而不偏离本发明的构思和/或范围。例如,晶片31与阴极喷射器255之间的角度可以保持在任何恒定的角度,或在抛光工艺过程中可以改变角度。晶片本身可以相对于抛光槽100被置于任何角度。在图54的实施例中,喷射器255可以移动,来代替移动晶片31,或者可以移动喷射器255和晶片31二者,以获得相同的结果。在图54的实施例中,晶片31能够被浸入电解液中,来代替被电解液的射流接触。
如上所述,虽然结合附图所述的大量变通实施例已经描述了本发明,但能够作出各种各样的修正而不偏离本发明的构思和/或范围。因此,本发明应该不被认为局限于附图所示的上述具体形式。

Claims (12)

1.一种用来对制作在晶片上的金属层进行电抛光的装置,它包含:
用来抛光金属层的电解液;
用来容纳晶片的抛光槽;
用来将晶片吸住并将晶片置于所述抛光槽中的晶片吸盘;以及
至少一个用来将所述电解液涂敷到晶片的喷射器,其中所述喷射器将所述电解液涂敷到晶片的逐个部分,以便从晶片均匀地电抛光金属层。
2.权利要求1的装置,其中所述喷射器沿一定路径移动,以便所述电解液涂敷到晶片的逐个部分。
3.权利要求2的装置,其中所述喷射器沿平行于晶片的直线路径移动。
4.权利要求2的装置,其中所述喷射器沿平行于晶片的曲线路径移动。
5.权利要求2的装置,其中所述喷射器沿螺旋路径移动。
6.权利要求1的装置,还包含至少一个排列在所述喷射器中的用来施加电抛光电荷以便从晶片电抛光金属层的阴极。
7.权利要求6的装置,还包含至少一个排列在所述抛光槽中的用来输送所述电解液以便将晶片浸入所述电解液中的流体入口。
8.权利要求6的装置,还包含至少一个排列在所述喷射器中的用来将所述电解液输送到所述喷射器的流体入口。
9.权利要求1的装置,还包含旋转晶片的驱动机构。
10.权利要求1的装置,其中所述晶片吸盘被构造成相对于所述喷射器移动晶片,以便将晶片的逐个部分暴露于喷射器。
11.权利要求1的装置,其中所述晶片吸盘将晶片水平置于所述抛光槽中。
12.权利要求1的装置,其中所述晶片吸盘将晶片垂直置于所述抛光槽中。
CNB200410004837XA 1998-07-09 1999-07-08 电抛光半导体器件上金属互连的装置 Expired - Fee Related CN1306572C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US9231698P 1998-07-09 1998-07-09
US60/092,316 1998-07-09

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB998084212A Division CN1146966C (zh) 1998-07-09 1999-07-08 电抛光半导体器件上金属互连的方法和装置

Publications (2)

Publication Number Publication Date
CN1523647A true CN1523647A (zh) 2004-08-25
CN1306572C CN1306572C (zh) 2007-03-21

Family

ID=22232664

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB200410004837XA Expired - Fee Related CN1306572C (zh) 1998-07-09 1999-07-08 电抛光半导体器件上金属互连的装置
CNB998084212A Expired - Fee Related CN1146966C (zh) 1998-07-09 1999-07-08 电抛光半导体器件上金属互连的方法和装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB998084212A Expired - Fee Related CN1146966C (zh) 1998-07-09 1999-07-08 电抛光半导体器件上金属互连的方法和装置

Country Status (11)

Country Link
US (4) US6395152B1 (zh)
EP (1) EP1097474A1 (zh)
JP (1) JP2002520850A (zh)
KR (1) KR100465894B1 (zh)
CN (2) CN1306572C (zh)
AU (1) AU5094099A (zh)
CA (1) CA2336851A1 (zh)
IL (1) IL140713A0 (zh)
RU (1) RU2224329C2 (zh)
TW (1) TW418456B (zh)
WO (1) WO2000003426A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101882595A (zh) * 2009-05-08 2010-11-10 盛美半导体设备(上海)有限公司 阻挡层的去除方法和装置
US8598039B2 (en) 2008-08-20 2013-12-03 Acm Research (Shanghai) Inc. Barrier layer removal method and apparatus
CN103692293A (zh) * 2012-09-27 2014-04-02 盛美半导体设备(上海)有限公司 无应力抛光装置及抛光方法

Families Citing this family (238)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7136173B2 (en) * 1998-07-09 2006-11-14 Acm Research, Inc. Method and apparatus for end-point detection
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US7686935B2 (en) * 1998-10-26 2010-03-30 Novellus Systems, Inc. Pad-assisted electropolishing
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6497800B1 (en) * 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US7427337B2 (en) * 1998-12-01 2008-09-23 Novellus Systems, Inc. System for electropolishing and electrochemical mechanical polishing
US6251235B1 (en) * 1999-03-30 2001-06-26 Nutool, Inc. Apparatus for forming an electrical contact with a semiconductor substrate
US6902659B2 (en) * 1998-12-01 2005-06-07 Asm Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US7578923B2 (en) * 1998-12-01 2009-08-25 Novellus Systems, Inc. Electropolishing system and process
US6413388B1 (en) * 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
KR20010020807A (ko) * 1999-05-03 2001-03-15 조셉 제이. 스위니 고정 연마재 제품을 사전-조절하는 방법
US7069101B1 (en) * 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
AU6773000A (en) * 1999-08-26 2001-03-19 Cvc Products, Inc. Apparatus and method for electroplating a material layer onto a wafer
US6299741B1 (en) * 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US7678245B2 (en) * 2000-02-17 2010-03-16 Applied Materials, Inc. Method and apparatus for electrochemical mechanical processing
US7066800B2 (en) 2000-02-17 2006-06-27 Applied Materials Inc. Conductive polishing article for electrochemical mechanical polishing
US7670468B2 (en) * 2000-02-17 2010-03-02 Applied Materials, Inc. Contact assembly and method for electrochemical mechanical processing
US6962524B2 (en) * 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7303662B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Contacts for electrochemical processing
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7303462B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Edge bead removal by an electro polishing process
US6979248B2 (en) * 2002-05-07 2005-12-27 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6537144B1 (en) 2000-02-17 2003-03-25 Applied Materials, Inc. Method and apparatus for enhanced CMP using metals having reductive properties
US6991526B2 (en) * 2002-09-16 2006-01-31 Applied Materials, Inc. Control of removal profile in electrochemically assisted CMP
US7029365B2 (en) * 2000-02-17 2006-04-18 Applied Materials Inc. Pad assembly for electrochemical mechanical processing
US20050092621A1 (en) * 2000-02-17 2005-05-05 Yongqi Hu Composite pad assembly for electrochemical mechanical processing (ECMP)
US6991528B2 (en) * 2000-02-17 2006-01-31 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20030213703A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Method and apparatus for substrate polishing
US7125477B2 (en) * 2000-02-17 2006-10-24 Applied Materials, Inc. Contacts for electrochemical processing
US20040182721A1 (en) * 2003-03-18 2004-09-23 Applied Materials, Inc. Process control in electro-chemical mechanical polishing
US7059948B2 (en) * 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
US6797623B2 (en) * 2000-03-09 2004-09-28 Sony Corporation Methods of producing and polishing semiconductor device and polishing apparatus
US6852208B2 (en) 2000-03-17 2005-02-08 Nutool, Inc. Method and apparatus for full surface electrotreating of a wafer
US8308931B2 (en) * 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US8475636B2 (en) * 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US6527920B1 (en) 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US20050284751A1 (en) * 2004-06-28 2005-12-29 Nicolay Kovarsky Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
US7273535B2 (en) * 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
JP2002046024A (ja) * 2000-08-04 2002-02-12 Sony Corp 電解研磨装置、電解研磨方法および被研磨ウエハ
US6921551B2 (en) 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US7754061B2 (en) * 2000-08-10 2010-07-13 Novellus Systems, Inc. Method for controlling conductor deposition on predetermined portions of a wafer
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US7220166B2 (en) * 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US7153195B2 (en) 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US7160176B2 (en) * 2000-08-30 2007-01-09 Micron Technology, Inc. Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US7134934B2 (en) * 2000-08-30 2006-11-14 Micron Technology, Inc. Methods and apparatus for electrically detecting characteristics of a microelectronic substrate and/or polishing medium
US7078308B2 (en) 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US7112121B2 (en) * 2000-08-30 2006-09-26 Micron Technology, Inc. Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US7192335B2 (en) * 2002-08-29 2007-03-20 Micron Technology, Inc. Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US7129160B2 (en) * 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
US7119008B2 (en) * 2000-09-18 2006-10-10 Acm Research, Inc. Integrating metal layers with ultra low-K dielectrics
JP2002110592A (ja) * 2000-09-27 2002-04-12 Sony Corp 研磨方法および研磨装置
US6724051B1 (en) * 2000-10-05 2004-04-20 Advanced Micro Devices, Inc. Nickel silicide process using non-reactive spacer
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US20040170753A1 (en) * 2000-12-18 2004-09-02 Basol Bulent M. Electrochemical mechanical processing using low temperature process environment
US6896776B2 (en) * 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
JP2002254248A (ja) * 2001-02-28 2002-09-10 Sony Corp 電解加工装置
FR2821862B1 (fr) * 2001-03-07 2003-11-14 Saint Gobain Procede de gravure de couches deposees sur des substrats transparents du type substrat verrier
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US7232514B2 (en) * 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US7582564B2 (en) * 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US7128825B2 (en) * 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US20020139684A1 (en) * 2001-04-02 2002-10-03 Mitsubishi Denki Kabushiki Kaisha Plating system, plating method, method of manufacturing semiconductor device using the same, and method of manufacturing printed board using the same
US7189647B2 (en) 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US7137879B2 (en) * 2001-04-24 2006-11-21 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6638863B2 (en) * 2001-04-24 2003-10-28 Acm Research, Inc. Electropolishing metal layers on wafers having trenches or vias with dummy structures
US6722942B1 (en) * 2001-05-21 2004-04-20 Advanced Micro Devices, Inc. Chemical mechanical polishing with electrochemical control
US7638030B2 (en) * 2001-06-18 2009-12-29 Ebara Corporation Electrolytic processing apparatus and electrolytic processing method
US7101799B2 (en) * 2001-06-19 2006-09-05 Applied Materials, Inc. Feedforward and feedback control for conditioning of chemical mechanical polishing pad
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7682498B1 (en) 2001-06-28 2010-03-23 Novellus Systems, Inc. Rotationally asymmetric variable electrode correction
US6673721B1 (en) * 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US6969672B1 (en) * 2001-07-19 2005-11-29 Advanced Micro Devices, Inc. Method and apparatus for controlling a thickness of a conductive layer in a semiconductor manufacturing operation
EP1418619A4 (en) * 2001-08-13 2010-09-08 Ebara Corp SEMICONDUCTOR COMPONENTS AND MANUFACTURING METHOD THEREFOR AND PLATING SOLUTION
US20040253809A1 (en) * 2001-08-18 2004-12-16 Yao Xiang Yu Forming a semiconductor structure using a combination of planarizing methods and electropolishing
DE10141056C2 (de) 2001-08-22 2003-12-24 Atotech Deutschland Gmbh Verfahren und Vorrichtung zum elektrolytischen Behandeln von elektrisch leitfähigen Schichten in Durchlaufanlagen
US20040253810A1 (en) * 2001-08-23 2004-12-16 Hui Wang Dummy structures to reduce metal recess in electropolishing process
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
CN100497748C (zh) * 2001-11-13 2009-06-10 Acm研究公司 电解抛光组件以及对导电层执行电解抛光的方法
US6833063B2 (en) 2001-12-21 2004-12-21 Nutool, Inc. Electrochemical edge and bevel cleaning process and system
US20070295611A1 (en) * 2001-12-21 2007-12-27 Liu Feng Q Method and composition for polishing a substrate
US7029567B2 (en) * 2001-12-21 2006-04-18 Asm Nutool, Inc. Electrochemical edge and bevel cleaning process and system
US6951599B2 (en) * 2002-01-22 2005-10-04 Applied Materials, Inc. Electropolishing of metallic interconnects
US6837983B2 (en) * 2002-01-22 2005-01-04 Applied Materials, Inc. Endpoint detection for electro chemical mechanical polishing and electropolishing processes
TWI277473B (en) * 2002-01-31 2007-04-01 Ebara Corp Electrolytic processing apparatus and method, fixing method, fixing structure for ion exchanging member
US6693365B2 (en) * 2002-02-23 2004-02-17 Taiwan Semiconductor Manufacturing Co., Ltd. Local electrochemical deplating of alignment mark regions of semiconductor wafers
TW544795B (en) * 2002-02-25 2003-08-01 Nat Science Council Method for monitoring end point of electrolyzing polishing process
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
JP3843871B2 (ja) * 2002-03-26 2006-11-08 ソニー株式会社 電解研磨方法および半導体装置の製造方法
CA2479873A1 (en) * 2002-04-12 2003-10-23 Acm Research, Inc. Electropolishing and electroplating methods
US6672716B2 (en) * 2002-04-29 2004-01-06 Xerox Corporation Multiple portion solid ink stick
US6689258B1 (en) 2002-04-30 2004-02-10 Advanced Micro Devices, Inc. Electrochemically generated reactants for chemical mechanical planarization
US20050194681A1 (en) * 2002-05-07 2005-09-08 Yongqi Hu Conductive pad with high abrasion
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US6649513B1 (en) * 2002-05-15 2003-11-18 Taiwan Semiconductor Manufacturing Company Copper back-end-of-line by electropolish
WO2003106090A1 (en) * 2002-06-12 2003-12-24 Faraday Technology, Inc. Electrolytic etching of metal layers
US6875331B2 (en) * 2002-07-11 2005-04-05 Applied Materials, Inc. Anode isolation by diffusion differentials
US20040072445A1 (en) * 2002-07-11 2004-04-15 Applied Materials, Inc. Effective method to improve surface finish in electrochemically assisted CMP
KR101151456B1 (ko) * 2002-07-22 2012-06-04 에이씨엠 리서치, 인코포레이티드 두께 측정을 이용한 적정 전해연마 및 장벽층과 희생층의제거방법 및 시스템
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US20040217005A1 (en) * 2002-07-24 2004-11-04 Aron Rosenfeld Method for electroplating bath chemistry control
US7128823B2 (en) * 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US7247222B2 (en) 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
JP4205914B2 (ja) * 2002-08-27 2009-01-07 株式会社ルネサステクノロジ 半導体装置の製造方法及び製造装置
US6783657B2 (en) * 2002-08-29 2004-08-31 Micron Technology, Inc. Systems and methods for the electrolytic removal of metals from substrates
US20040040863A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Systems for electrolytic removal of metals from substrates
US20050061674A1 (en) * 2002-09-16 2005-03-24 Yan Wang Endpoint compensation in electroprocessing
US7112270B2 (en) * 2002-09-16 2006-09-26 Applied Materials, Inc. Algorithm for real-time process control of electro-polishing
US20040084320A1 (en) * 2002-10-30 2004-05-06 Xerox Corporation Copper interconnect by immersion/electroless plating in dual damascene process
AU2003290932A1 (en) 2002-11-15 2004-06-15 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
KR100558692B1 (ko) * 2002-12-02 2006-03-10 학교법인고려중앙학원 반도체 집적회로 제조 방법
WO2004053942A2 (en) * 2002-12-09 2004-06-24 Acm Research, Inc. Measuring alignment between a wafer chuck and polishing/plating receptacle
US6916409B1 (en) * 2002-12-31 2005-07-12 Advanced Cardiovascular Systems, Inc. Apparatus and process for electrolytic removal of material from a medical device
US6875322B1 (en) 2003-01-15 2005-04-05 Lam Research Corporation Electrochemical assisted CMP
US7842169B2 (en) * 2003-03-04 2010-11-30 Applied Materials, Inc. Method and apparatus for local polishing control
WO2004081261A2 (en) 2003-03-11 2004-09-23 Ebara Corporation Plating apparatus
US6939796B2 (en) 2003-03-14 2005-09-06 Lam Research Corporation System, method and apparatus for improved global dual-damascene planarization
US6821899B2 (en) 2003-03-14 2004-11-23 Lam Research Corporation System, method and apparatus for improved local dual-damascene planarization
US7250103B2 (en) * 2003-04-14 2007-07-31 Novellus Systems, Inc. Method and apparatus for eliminating defects and improving uniformity in electrochemically processed conductive layers
JP2004327561A (ja) * 2003-04-22 2004-11-18 Ebara Corp 基板処理方法及び基板処理装置
US6893328B2 (en) 2003-04-23 2005-05-17 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Conductive polishing pad with anode and cathode
US7390429B2 (en) * 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
KR100468865B1 (ko) * 2003-06-18 2005-01-29 삼성전자주식회사 이차원적인 도펀트 분포의 분석을 위한 선택적 전기화학에칭방법
US7223685B2 (en) * 2003-06-23 2007-05-29 Intel Corporation Damascene fabrication with electrochemical layer removal
US20040259479A1 (en) * 2003-06-23 2004-12-23 Cabot Microelectronics Corporation Polishing pad for electrochemical-mechanical polishing
US20040262168A1 (en) * 2003-06-25 2004-12-30 Jinshan Huo Methods of electopolishing patterned substrates
JP2005015885A (ja) * 2003-06-27 2005-01-20 Ebara Corp 基板処理方法及び装置
WO2005005693A1 (en) 2003-07-01 2005-01-20 Superpower, Inc. Process control methods of electropolishing for metal substrate preparation in producing ybco coated conductors
US7067048B2 (en) * 2003-08-08 2006-06-27 Lsi Logic Corporation Method to improve the control of electro-polishing by use of a plating electrode an electrolyte bath
US7112122B2 (en) * 2003-09-17 2006-09-26 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US20050067304A1 (en) * 2003-09-26 2005-03-31 King Mackenzie E. Electrode assembly for analysis of metal electroplating solution, comprising self-cleaning mechanism, plating optimization mechanism, and/or voltage limiting mechanism
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US20050092601A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a diffusion member
US20050092602A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a membrane stack
US7252746B2 (en) * 2003-11-12 2007-08-07 Cook Incorporated Electropolishing apparatus and method for medical implants
US20050121141A1 (en) * 2003-11-13 2005-06-09 Manens Antoine P. Real time process control for a polishing process
US20050109624A1 (en) * 2003-11-25 2005-05-26 Mackenzie King On-wafer electrochemical deposition plating metrology process and apparatus
US7186164B2 (en) * 2003-12-03 2007-03-06 Applied Materials, Inc. Processing pad assembly with zone control
US20070039827A1 (en) * 2003-12-09 2007-02-22 Acm Reasearch, Inc. Measuring alignment between a wafer chuck and polishing/plating receptacle
US20050145506A1 (en) * 2003-12-29 2005-07-07 Taylor E. J. Electrochemical etching of circuitry for high density interconnect electronic modules
US20060207888A1 (en) * 2003-12-29 2006-09-21 Taylor E J Electrochemical etching of circuitry for high density interconnect electronic modules
US20050178666A1 (en) * 2004-01-13 2005-08-18 Applied Materials, Inc. Methods for fabrication of a polishing article
US8449756B2 (en) * 2004-01-16 2013-05-28 Battelle Memorial Institute Method for producing ferrate (V) and/or (VI)
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20050167266A1 (en) * 2004-02-02 2005-08-04 Cabot Microelectronics Corporation ECMP system
US7153777B2 (en) 2004-02-20 2006-12-26 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
WO2005082057A2 (en) * 2004-02-23 2005-09-09 Acm Research, Inc. Controlling removal rate uniformity of an electropolishing process in integrated circuit fabrication
US7975769B2 (en) 2004-03-23 2011-07-12 Single Buoy Moorings Inc. Field development with centralised power generation unit
US20050224370A1 (en) * 2004-04-07 2005-10-13 Jun Liu Electrochemical deposition analysis system including high-stability electrode
US6984299B2 (en) * 2004-04-27 2006-01-10 Advanced Technology Material, Inc. Methods for determining organic component concentrations in an electrolytic solution
US7435320B2 (en) 2004-04-30 2008-10-14 Advanced Technology Materials, Inc. Methods and apparatuses for monitoring organic additives in electrochemical deposition solutions
US7427346B2 (en) * 2004-05-04 2008-09-23 Advanced Technology Materials, Inc. Electrochemical drive circuitry and method
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7214297B2 (en) * 2004-06-28 2007-05-08 Applied Materials, Inc. Substrate support element for an electrochemical plating cell
US20060030156A1 (en) * 2004-08-05 2006-02-09 Applied Materials, Inc. Abrasive conductive polishing article for electrochemical mechanical polishing
US7566391B2 (en) * 2004-09-01 2009-07-28 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
WO2006039436A2 (en) * 2004-10-01 2006-04-13 Applied Materials, Inc. Pad design for electrochemical mechanical polishing
US7520968B2 (en) * 2004-10-05 2009-04-21 Applied Materials, Inc. Conductive pad design modification for better wafer-pad contact
ATE505241T1 (de) * 2004-11-12 2011-04-15 Battelle Memorial Institute Dekontaminationsmittel
US20060102467A1 (en) * 2004-11-15 2006-05-18 Harald Herchen Current collimation for thin seed and direct plating
US7655565B2 (en) * 2005-01-26 2010-02-02 Applied Materials, Inc. Electroprocessing profile control
TW200727356A (en) * 2005-01-28 2007-07-16 Applied Materials Inc Tungsten electroprocessing
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
US7427340B2 (en) * 2005-04-08 2008-09-23 Applied Materials, Inc. Conductive pad
WO2006110864A2 (en) * 2005-04-12 2006-10-19 Acm Research, Inc. Method for improving surface roughness during electro-polishing
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US20070034526A1 (en) * 2005-08-12 2007-02-15 Natsuki Makino Electrolytic processing apparatus and method
US20070034525A1 (en) * 2005-08-12 2007-02-15 Masayuki Kumekawa Electrolytic processing method
US20070034502A1 (en) * 2005-08-12 2007-02-15 Masayuki Kumekawa Electrolytic processing apparatus
KR100651919B1 (ko) * 2005-09-29 2006-12-01 엘지전자 주식회사 녹화 속도 조절 기능을 갖는 이동통신단말기 및 이를이용한 방법
US20070181441A1 (en) * 2005-10-14 2007-08-09 Applied Materials, Inc. Method and apparatus for electropolishing
JP2007123523A (ja) * 2005-10-27 2007-05-17 Ebara Corp 研磨方法及び研磨装置、並びに電解研磨装置
US20070261963A1 (en) * 2006-02-02 2007-11-15 Advanced Technology Materials, Inc. Simultaneous inorganic, organic and byproduct analysis in electrochemical deposition solutions
US20070218587A1 (en) * 2006-03-07 2007-09-20 Applied Materials, Inc. Soft conductive polymer processing pad and method for fabricating the same
US20070227901A1 (en) * 2006-03-30 2007-10-04 Applied Materials, Inc. Temperature control for ECMP process
EP1839695A1 (en) * 2006-03-31 2007-10-03 Debiotech S.A. Medical liquid injection device
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
KR100725923B1 (ko) * 2006-06-08 2007-06-11 황석환 연마헤드용 멤브레인
US7422982B2 (en) * 2006-07-07 2008-09-09 Applied Materials, Inc. Method and apparatus for electroprocessing a substrate with edge profile control
US8500985B2 (en) 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
EP1890004A1 (de) * 2006-08-08 2008-02-20 Siemens Aktiengesellschaft Verfahren zum Herstellen einer Nutzschicht aus wiederverwendetem Schichtmaterial
US7560380B2 (en) * 2006-10-27 2009-07-14 Intel Corporation Chemical dissolution of barrier and adhesion layers
US7799684B1 (en) 2007-03-05 2010-09-21 Novellus Systems, Inc. Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
WO2008112657A1 (en) 2007-03-09 2008-09-18 Battelle Memorial Institute Ferrate(vi)-containing compositions and methods of using ferrate(vi)
US20080217183A1 (en) * 2007-03-09 2008-09-11 Sriram Muthukumar Electropolishing metal features on a semiconductor wafer
US8012000B2 (en) 2007-04-02 2011-09-06 Applied Materials, Inc. Extended pad life for ECMP and barrier removal
US20080293343A1 (en) * 2007-05-22 2008-11-27 Yuchun Wang Pad with shallow cells for electrochemical mechanical processing
WO2009055992A1 (en) * 2007-11-02 2009-05-07 Acm Research (Shanghai) Inc. Plating apparatus for metallization on semiconductor workpiece
US8513124B1 (en) 2008-03-06 2013-08-20 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on semi-noble metal coated wafers
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8703615B1 (en) 2008-03-06 2014-04-22 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on ruthenium coated wafers
WO2009114965A1 (en) * 2008-03-19 2009-09-24 Acm Research (Shanghai) Inc. Electrochemical deposition system
WO2009142823A1 (en) * 2008-03-26 2009-11-26 Battelle Memorial Institute Apparatus and methods of providing diatomic oxygen (o2) using ferrate(vi)-containing compositions
US8722147B2 (en) * 2008-10-17 2014-05-13 Battelle Memorial Institute Corrosion resistant primer coating
US20120261254A1 (en) 2011-04-15 2012-10-18 Reid Jonathan D Method and apparatus for filling interconnect structures
US8475637B2 (en) * 2008-12-17 2013-07-02 Novellus Systems, Inc. Electroplating apparatus with vented electrolyte manifold
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
US8157978B2 (en) * 2009-01-29 2012-04-17 International Business Machines Corporation Etching system and method for forming multiple porous semiconductor regions with different optical and structural properties on a single semiconductor wafer
CN103320846B (zh) * 2010-05-19 2015-12-02 易生科技(北京)有限公司 支架抛光装置和支架抛光方法
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
DE102010033256A1 (de) * 2010-07-29 2012-02-02 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Methode zur Erzeugung gezielter Strömungs- und Stromdichtemuster bei der chemischen und elektrolytischen Oberflächenbehandlung
SG11201405586TA (en) * 2012-03-30 2015-06-29 Acm Res Shanghai Inc Nozzle for stress-free polishing metal layers on semiconductor wafers
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9677190B2 (en) 2013-11-01 2017-06-13 Lam Research Corporation Membrane design for reducing defects in electroplating systems
CN105312999A (zh) * 2014-07-29 2016-02-10 盛美半导体设备(上海)有限公司 无应力抛光设备及其工艺腔体
CN105437083B (zh) * 2014-09-29 2018-11-06 盛美半导体设备(上海)有限公司 喷头装置
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
CN108350599B (zh) * 2015-10-30 2020-03-20 盛美半导体设备(上海)股份有限公司 在恒压模式下电化学抛光的方法
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
WO2018058131A1 (en) * 2016-09-26 2018-03-29 University Of Notre Dame Du Lac Methods and apparatus for mitigation of current reversal in capillary zone electrophoresis-electrospray devices
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
CN107900472B (zh) * 2017-11-13 2019-02-26 常州工学院 一种磨粒浮动式自适应电化学机械抛光加工方法及装置
EP3754052A1 (en) * 2019-06-21 2020-12-23 Infineon Technologies AG Roughening of a metallization layer on a semiconductor wafer
WO2021142187A1 (en) * 2020-01-09 2021-07-15 Lam Research Corporation High-speed 3d metal printing of semiconductor metal interconnects
CN113399766B (zh) * 2021-06-02 2022-06-14 贵州大学 一种高速钢轧辊材质电解磨削所用电解液的试验方法
CN117433454B (zh) * 2023-12-15 2024-03-22 成都匠泰建筑工程设计有限公司 一种景观园林面积计量设备

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2751344A (en) 1949-06-21 1956-06-19 Charles A Kienberger Electropolisher
US4131524A (en) 1969-11-24 1978-12-26 U.S. Philips Corporation Manufacture of semiconductor devices
JPS4845178A (zh) * 1971-09-23 1973-06-28
SU463174A1 (ru) 1973-03-09 1975-03-05 Предприятие П/Я Г-4521 Устройство дл электролитической обработки пластин
US4021278A (en) * 1975-12-12 1977-05-03 International Business Machines Corporation Reduced meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
US4078980A (en) 1976-10-01 1978-03-14 National Semiconductor Corporation Electrolytic chromium etching of chromium-layered semiconductor
DE2743715A1 (de) 1977-09-29 1979-04-12 Hoechst Ag Verfahren zum elektropolieren
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
JPS5846643A (ja) * 1981-09-12 1983-03-18 Mitsubishi Electric Corp ウエハ処理法
US4428815A (en) * 1983-04-28 1984-01-31 Western Electric Co., Inc. Vacuum-type article holder and methods of supportively retaining articles
JPS60231330A (ja) * 1984-04-28 1985-11-16 Seiichiro Sogo 半導体材料の処理装置
JPS62138440U (zh) * 1986-02-24 1987-09-01
GB8617675D0 (en) 1986-07-19 1986-08-28 Ae Plc Deposition of bearing alloys
JPS6437024A (en) * 1987-08-03 1989-02-07 Fujitsu Ltd Wet processor for substrate
WO1990000476A1 (en) * 1988-07-12 1990-01-25 The Regents Of The University Of California Planarized interconnect etchback
US5256565A (en) * 1989-05-08 1993-10-26 The United States Of America As Represented By The United States Department Of Energy Electrochemical planarization
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
DE4121032A1 (de) 1991-06-26 1993-01-07 Schmid Gmbh & Co Geb Vorrichtung zum behandeln von plattenfoermigen gegenstaenden, insbesondere leiterplatten
US5217586A (en) * 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
JP2888001B2 (ja) * 1992-01-09 1999-05-10 日本電気株式会社 金属メッキ装置
US5284554A (en) 1992-01-09 1994-02-08 International Business Machines Corporation Electrochemical micromachining tool and process for through-mask patterning of thin metallic films supported by non-conducting or poorly conducting surfaces
JPH05243183A (ja) 1992-02-28 1993-09-21 Nec Corp 半導体装置の製造方法
JPH0625899A (ja) 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
JP2608853B2 (ja) * 1993-06-25 1997-05-14 俊雄 前里 炭化炉
US5354437A (en) 1993-08-16 1994-10-11 Wisconsin Alumni Research Foundation Multi-element microelectropolishing method
US5489341A (en) 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5421987A (en) 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
US5507923A (en) * 1993-11-09 1996-04-16 Stouse; Henry J. Method and apparatus for electrolytic polishing of tubular products
WO1995020064A1 (en) 1994-01-24 1995-07-27 Berg N Edward Uniform electroplating of printed circuit boards
US5544421A (en) 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
US5567300A (en) 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
US5665214A (en) * 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
KR100281723B1 (ko) * 1995-05-30 2001-10-22 코트게리 연마방법및그장치
US5670034A (en) 1995-07-11 1997-09-23 American Plating Systems Reciprocating anode electrolytic plating apparatus and method
US5620581A (en) 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US5741741A (en) * 1996-05-23 1998-04-21 Vanguard International Semiconductor Corporation Method for making planar metal interconnections and metal plugs on semiconductor substrates
US5865984A (en) 1997-06-30 1999-02-02 International Business Machines Corporation Electrochemical etching apparatus and method for spirally etching a workpiece
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6056869A (en) * 1998-06-04 2000-05-02 International Business Machines Corporation Wafer edge deplater for chemical mechanical polishing of substrates
US6132586A (en) * 1998-06-11 2000-10-17 Integrated Process Equipment Corporation Method and apparatus for non-contact metal plating of semiconductor wafers using a bipolar electrode assembly
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6447668B1 (en) * 1998-07-09 2002-09-10 Acm Research, Inc. Methods and apparatus for end-point detection

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8598039B2 (en) 2008-08-20 2013-12-03 Acm Research (Shanghai) Inc. Barrier layer removal method and apparatus
CN101882595A (zh) * 2009-05-08 2010-11-10 盛美半导体设备(上海)有限公司 阻挡层的去除方法和装置
CN101882595B (zh) * 2009-05-08 2014-07-09 盛美半导体设备(上海)有限公司 阻挡层的去除方法和装置
CN103692293A (zh) * 2012-09-27 2014-04-02 盛美半导体设备(上海)有限公司 无应力抛光装置及抛光方法
CN103692293B (zh) * 2012-09-27 2018-01-16 盛美半导体设备(上海)有限公司 无应力抛光装置及抛光方法

Also Published As

Publication number Publication date
US20020153246A1 (en) 2002-10-24
CN1146966C (zh) 2004-04-21
TW418456B (en) 2001-01-11
AU5094099A (en) 2000-02-01
US20040256245A1 (en) 2004-12-23
CA2336851A1 (en) 2000-01-20
US6837984B2 (en) 2005-01-04
CN1318207A (zh) 2001-10-17
EP1097474A1 (en) 2001-05-09
WO2000003426A1 (en) 2000-01-20
US6395152B1 (en) 2002-05-28
RU2224329C2 (ru) 2004-02-20
JP2002520850A (ja) 2002-07-09
CN1306572C (zh) 2007-03-21
KR20010089135A (ko) 2001-09-29
IL140713A0 (en) 2002-02-10
KR100465894B1 (ko) 2005-01-13
US6440295B1 (en) 2002-08-27

Similar Documents

Publication Publication Date Title
CN1306572C (zh) 电抛光半导体器件上金属互连的装置
CN1222641C (zh) 电镀设备及方法
CN1260778C (zh) 基片加工方法
CN1187481C (zh) 电解处理装置
CN1305133C (zh) 半导体器件及其制造方法
CN1057349C (zh) 等离子体加工方法和等离子体加工装置
CN1341277A (zh) 半导体基片处理装置及处理方法
CN1253606C (zh) 镀铜溶液、镀敷方法和镀敷装置
CN1653597A (zh) 衬底加工设备和衬底加工方法
CN1188820A (zh) 阳极化处理设备和与该设备有关的方法
CN1175711C (zh) 陶瓷衬底和制造陶瓷衬底的处理
CN1885160A (zh) 基板处理装置
CN1633520A (zh) 镀膜装置和方法
CN1540738A (zh) 等离子体处理装置、聚焦环和基座
CN1545728A (zh) 半导体器件及其制造方法、以及电镀液
CN1463467A (zh) 电解处理装置和衬底处理设备
CN1227405A (zh) 阳极氧化方法和装置以及半导体衬底制造方法
CN1061871A (zh) 半导体部件及其制造方法
CN1763245A (zh) 镀覆衬底、非电解镀方法及使用了该方法的电路形成方法
CN1812050A (zh) 基板处理装置
CN1269432A (zh) 阳极氧化方法和该方法的操作装置
CN1558962A (zh) 溅射靶材和透明导电薄膜
CN1759474A (zh) 等离子加工装置和方法
CN1574242A (zh) 处理方法和处理系统
CN1227964A (zh) Soi衬底及其制造方法和装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070321

Termination date: 20180708