CN1238891C - 新型芯片互连件以及封装沉积方法与结构 - Google Patents

新型芯片互连件以及封装沉积方法与结构 Download PDF

Info

Publication number
CN1238891C
CN1238891C CNB008189013A CN00818901A CN1238891C CN 1238891 C CN1238891 C CN 1238891C CN B008189013 A CNB008189013 A CN B008189013A CN 00818901 A CN00818901 A CN 00818901A CN 1238891 C CN1238891 C CN 1238891C
Authority
CN
China
Prior art keywords
substrate
electric conducting
conducting material
hole
crystal layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB008189013A
Other languages
English (en)
Other versions
CN1433572A (zh
Inventor
西普利亚·E·乌邹
哈默云·塔里
布伦特·巴绍尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Nutool Inc
Original Assignee
ASM Nutool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Nutool Inc filed Critical ASM Nutool Inc
Publication of CN1433572A publication Critical patent/CN1433572A/zh
Application granted granted Critical
Publication of CN1238891C publication Critical patent/CN1238891C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • C23C18/1608Process or apparatus coating on selected surface areas by direct patterning from pretreatment step, i.e. selective pre-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1848Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by electrochemical pretreatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/22Electroplating combined with mechanical treatment during the deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1651Two or more layers only obtained by electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1689After-treatment
    • C23C18/1692Heat-treatment
    • C23C18/1696Control of atmosphere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01016Sulfur [S]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01021Scandium [Sc]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01024Chromium [Cr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0103Zinc [Zn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01041Niobium [Nb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01045Rhodium [Rh]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01057Lanthanum [La]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0106Neodymium [Nd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01072Hafnium [Hf]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01087Francium [Fr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Abstract

本发明涉及一种方法,它用于制造高性能的芯片互连件及包装件,它通过提供下述方法,以更为有效率且节省时间的方式,把导电材料沉积在基片孔穴中。它的实现,在于选择性地从基片顶部表面上去除种晶层的一些部分,且接着把导电材料沉积在基片孔穴中,在该孔穴中余留着种晶层的一些部分。另一种方法则包括,在基片顶部表面上形成氧化层,使得导电材料不形成于基片顶部表面上地沉积在孔穴中。本发明还公开了形成多层级互连件以及对应结构的方法。

Description

新型芯片互连件以及封装沉积方法与结构
发明领域
本发明涉及制造高性能芯片互连件及封装件的方法。本发明尤其是涉及一种方法,它用来在现场选择性地从基片上去除种晶层(seedlayer)的一部分,同时,防止从种晶层所形成的孔穴中去除该种晶层。另外,本发明还公开了用于在基片的孔穴中沉积导电材料的方法。
背景技术
在基片或工件的孔穴(管沟、孔洞,以及通孔)中沉积金属/导电材料,在半导电和非半导电工业中具有重要而广泛的用途。例如,EP0 933 812A,US 5,723,387A,US 5,951,619A,EP 0881 673A等现有技术文件中公开了本发明的背景技术。导电材料被沉积在基片的孔穴中,以便互连各层与其中包含的各个部件。近来,人们很有兴趣以很高的纵横比和亚微米级别(例如低于0.25um)的部件来制造芯片和装置。结果,铜就成了人们喜爱选用的导电材料,因为它比起铝或铝合金来,提供了更好的导电性和可靠性。
图1A至1C显示一种常规方法。它用于把导电材料沉积在基片(例如工件)的孔穴中。图1A显示基片的剖视图,该基片上安置了不同的几个层。该图纸显示了二氧化硅(SiO2)层2(绝缘体层),该层上沉积了屏障层或粘着层4以及种晶层6。
在屏障层4和种晶层6沉积到绝缘体层2上之前,该层上一般都蚀刻了孔穴。绝缘体层2上的孔穴,一般是用反应离子蚀刻(RIE)方法蚀刻的。屏障层4可以是钽(Ta)、钛(Ti)、钨(W)、钛钨合金(TiW)、氮化钛(TiN)、铌、铜钨磷合金(CuWP)、钴钨磷合金(CoWP),或其他材料,或者该领域中通常所用的它们的化合物。屏障层4一般是用各种各样的喷溅法中的任何一种、化学气相沉积法(CVD)、电解沉积法/化学镀,沉积在绝缘体层2上的。随后,种晶层6就被沉积在屏障层4上。种晶层6也可以用各种各样的喷溅法、化学气相沉积法(CVD),或化学镀沉积法,或者它们的合成法,沉积在屏障层4上。沉积在基片表面上的种晶层6的厚度,可以为20至4000A°。
当种晶层6沉积之后,一般就用导电材料(例如铜)来填充绝缘体层2的孔穴,如图1B所示。导电材料8可以是用化学气相沉积法、喷溅法、化学镀法、电解沉积法,或者它们的合成法,形成于种晶层6上。导电材料8和种晶层6一般是用同样的材料。孔穴通常如图示那样用导电材料8填充得溢出。
一旦导电材料8在基片的孔穴中形成,基片通常就如图1C所示那样,被输送给另一个设备,以便抛光/磨平基片的顶部表面。通常而言,基片被用常规的化学机械抛光(CMP)装置磨平。基片顶部表面上的那部分种晶层6及屏障层4,也被抛光,以便与各种各样的结构电绝缘。孔穴中余留的种晶层6,则如图1C所示,被包含在导电材料8中。
返回参看图1A至1B,绝缘体层2中的孔穴的深度9c,范围可从用于互连件的0.2至5um,大到用于包装件的50um或更大。当把导电材料8沉积在基片上时,合乎要求的是要把孔穴填充得溢出,例如溢出深度9c的50%至200%,以便使布线结构中的缺陷最少。例如,就图1A中的结构而言,设想深度9a约为0.5um,而宽度9b约为10.0um。因此,较大的孔穴包括10.0um的宽度9b,且总深度约为1.0um(从孔穴的底部量到基片的顶部)。为了完全填充较大的孔穴,最小深度至少为1.0的导电材料8,必须沉积在其中。另外,额外数量的导电材料8在较大的孔穴中填充得溢出,确保孔穴被填满,且使布线缺陷最少。因此,较大孔穴上的额外数量(即50%)的导电材料8,应当是在深度9c处为至少0.5um。在此种情况下,当深度9e约为0.5um时,在场效应区域上形成的导电材料8,在深度9d处约是1.5um。换句话说,至少1.5um的导电材料8的覆盖层,会沉积在基片的大多数场效应区域上,而至少为0.5um的较小覆盖层则会沉积在较大的孔穴上。因此,0.5至1.5um的覆盖层,会沉积在基片的各种各样的部件上。
横跨基片的不等的导电材料8的覆盖层,导致使用化学机械方法处理时花费较长的抛光时间与较高的成本。因此,就需要使沉积处理让横跨基片的导电材料8覆盖层的数量最小,也要使基片表面上的不等的覆盖层深度最小。
图2A至2F显示了另一种把导电材料沉积在基片孔穴中的常规方法。图2A显示绝缘体(SiO2)层2,该层是以孔穴蚀刻的,并有屏障层4和种晶层6沉积在它上面,相似于图1A所示的结构。而且,绝缘体层2中的孔穴,通常是用反应离子蚀刻方法蚀刻的。
图2B显示涂覆在种晶层6顶部上的光致抗蚀材料12。使用正光致抗蚀处理法,使用一个掩模(未显示),使紫外线仅仅施于形成于基片孔穴中的光致抗蚀材料12上。当紫外线破坏光致抗蚀剂的分子结构时,暴露于紫外线的光致抗蚀剂(孔穴中的光致抗蚀剂)被裂解。然后,用适当的溶液或反应离子蚀刻法,把裂解了的光致抗蚀剂从基片的孔穴中去除,使结构如图2C所示那样。虽然这里说明的是正光敏处理法,但也可采用负光致抗蚀处理法来形成图2C所示结构。
对于具有大的孔穴例如宽度大于2um的基片来说,从孔穴中去除光致抗蚀剂,可能要求额外的步骤。例如,孔穴中的光致抗蚀材料12,可能与种晶层6互相作用,从而,使用溶液去除光致抗蚀材料12可能是不精确的。在此种情况下,在施用溶液使光致抗蚀剂溶解之后,基片就暴露在氧等离子体下了,以便从基片的孔穴中的种晶层6中灰化掉/剥离掉余留的光致抗蚀材料12。
当把铜的种晶层暴露在氧等离子体下时,铜的氧化物、铜的硫化物,或者铜的氧化物-硫化物就可以在铜的种晶上形成,尤其是当光致抗蚀材料中包含了载硫成分时更是如此。这些在铜的种晶层上形成的化合物,一般是抵抗导电材料的,且应当在任何导电材料在种晶层上沉积之前,把它们去除。因此,可能就要求用第二种剥离方法来去除氧化物、硫化物或者氧化硫。
在许多具有亚微型部件的布线结构中,孔穴中的铜种晶层可以沉积得厚度为15至1000A°。在其他情况下,尤其是当部件的尺寸低于0.5um且纵横比大于1.5时,孔穴中的铜种晶层可能很薄,甚至不连续。在这种情况下,在孔穴中的不连续种晶层上施加光致抗蚀材料可能导致部分的种晶层被光致抗蚀材料所消耗,从而导致基片有大量的缺陷。在图2D中,以适当的电镀或化学镀溶液把导电材料8(即铜)沉积在孔穴中,同时,余下的光致抗蚀剂12防止导电材料8在基片的顶部表面上形成。当导电材料8在孔穴中沉积之后,就用合适的溶液或反应离子蚀刻法去除整个光致抗蚀剂,于是形成图2E所示结构。随后,基片顶部表面上的那部分种晶层6及屏障层4,就被用常规的方法(例如化学机械抛光法、反应离子蚀刻法,或者它们的合成法)来蚀刻或抛光,于是形成图2F所示结构。
使用可替换的常规方法,如图2B所示基片顶部表面上的光致抗蚀材料12及种晶层6,就可以用化学机械抛光设备和磨粉浆去除掉。使用这种方法,有些磨料颗粒会陷在孔穴中,使磨粉浆机械附着在孔穴的侧壁上。当磨粉浆机械地附着在孔穴侧壁上时,它们常常难以去除,从而导致当导电材料沉积在孔穴中时基片会有各种各样的缺陷。
上述用于制造芯片互连件及包装件的各种常规方法,都要求有多个步骤和/或设备。在制造过程的这种阶段所需的时间与努力,能被改进与简化。相应地,就需要有些方法,能以更为有效率且更为有效果的方式,把导电材料沉积在基片的孔穴中。本发明就是为了克服现有技术所用各种方法的这些及其他缺点的。
发明内容
本发明的目的是提供一种方法,它用于把种晶层从基片顶部表面去除,同时又防止或最少地去除基片孔穴中的种晶层。
本发明的另一个目的是提供一种方法,它用于在有选择地从基片顶部表面去除种晶层之后,把导电材料沉积在基片孔穴中。
本发明的又一个目的是提供一种方法,它用于使用衬垫型材料去除基片顶部表面上的种晶层,同时又防止从基片孔穴中去除种晶层。
本发明还有一个目的是提供一种方法,它用于从基片顶部表面上去除种晶层,同时又把导电材料沉积在基片孔穴中。
本发明还有一个目的是提供一种方法,它用于使横跨基片上的导电材料覆盖层减少或最小化,同时又把导电材料沉积在基片孔穴中。
本发明还有一个目的是提供一种方法,它用于使横跨基片上的不等的导电材料覆盖层最小化,同时又把导电材料沉积在基片孔穴中。
本发明还有一个目的是提供一种方法,它用于形成多层结构,该结构具有覆盖着导电材料的孔穴。
本发明还有一个目的是提供一种方法,它用于在基片顶部表面上形成一个氧化层之后,把导电材料沉积在基片孔穴中。
按照本发明的第一方面,提供一种用于把导电材料沉积在基片孔穴中的方法,该基片上形成了屏障层和种晶层,该方法包括下列步骤:通过在基片和阳极之间施加电势,用连接于阳极的衬垫材料从基片顶部表面上去除种晶层的某些部分,同时防止从基片孔穴中去除其他一些部分的种晶层;在去除种晶层的某些部分之后,暴露基片顶部表面上的某些部分的屏障层;以及在基片和阳极之间施加电势,通过衬垫材料的开口把导电材料沉积在基片孔穴中的种晶层上面,其中基片是阴极。
按照本发明的第二方面,提供一种用于把导电材料沉积在基片孔穴中的方法,该基片上形成了屏障层和种晶层,该方法包括下列步骤:(1)用连接于阳极的衬垫材料选择性地从基片顶部表面上去除种晶层的一些部分,同时,在基片和阳极之间施加电势,通过衬垫材料的开口把第一导电材料施加在基片孔穴中的种晶层上,其中基片是阴极;(2)在选择性地去除种晶层的一些部分之后,暴露基片顶部表面上屏障层的一些部分;以及(3)把第二导电材料沉积在基片孔穴中。
按照本发明的第三方面,提供一种方法,它用导电材料在基片上形成均匀的覆盖导电层,该基片上形成了屏障层和种晶层,该方法包括下列步骤:(1)把导电材料沉积在基片孔穴中,同时,用连接在阳极上的衬垫材料从场效应区域中抛光导电材料,其中衬垫材料具有开口,在基片和阳极之间施加电势,导电材料是通过衬垫材料的开口沉积在基片孔穴中的,其中的沉积比率与抛光比率相同;(2)当孔穴中完全填充了导电材料后,加大沉积比率,使其高于抛光比率,从而在基片上形成均匀的导电材料覆盖层。
本发明公开的各种方法,以更为有效率且更节省时间的方式,把导电材料沉积在基片孔穴中。
附图说明
本发明的这些及其他目的以及优点,从下述结合附图对本发明所做的推荐示范实施例中,就可以更为明白且便于理解,在这些附图中:
图1A至1C的横截剖视图,显示一种常规方法,它用于把导电材料沉积在基片孔穴中;
图2A至2F的横截剖视图,显示另一种常规方法,它用于把导电材料沉积在基片孔穴中;
图3A至3D的横截剖视图,显示符合本发明推荐实施例的各种方法,它们用于把导电材料沉积在基片孔穴中;
图4A至4E的横截剖视图,显示符合本发明推荐实施例的一种方法,它用于形成具有覆盖了导电材料的多层结构;
图5A至5F的横截剖视图,显示符合本发明推荐实施例的另一种方法,它用于形成具有覆盖了导电材料的多层结构;
图6A至6C的横截剖视图,显示符合本发明推荐实施例的又一种方法,它用于把导电材料沉积在基片孔穴中;
图7A至7C的横截剖视图,显示符合本发明推荐实施例的又一种方法,它用于在基片孔穴中形成绝缘材料;
图8A至8F的横截剖视图,显示符合本发明推荐实施例的又一种方法,它用于把导电材料沉积在基片孔穴中。
具体实施方式
现在,参照附图3至8,说明本发明的各个推荐实施例,其中,在各张附图中,同样的结构和材料,以同样的标号表示。本发明的各个发明者在此公开一些方法,它们用于把导电材料,最好是铜,沉积在基片孔穴中。本发明也能用于任何基片或工件,例如晶片、平坦面板、磁性薄膜磁头、集成电路、装置、芯片,以及包括铅锌焊接合金或者无铅焊接合金在内的包装基片。还有,此处所提供的特定处理参数,用意在于举例,而非限制。
图3A至3D的横截剖视图,显示符合本发明的各种方法,它们用于制造芯片互连件及包装件。换言之,图3A至3D公开的各种方法,用于把一种材料,最好是导电材料/溶液,例如铜,沉积在基片孔穴中。在另一个实施例中,导电材料可沉积在孔穴中,同时,又选择性地从基片顶部表面上去除种晶层。
图3A至3D所示的各种方法,包括使用一种器械,把导电材料沉积在基片孔穴中的步骤,该器械具有衬垫型材料附着在阳极上。此种设备,在1999年8月13日登记的、名为“Method and Apparatus forDepositing and Controlling the Texture of A Thin Film”(《用于沉积与控制薄膜质地的方法与器械》)的待定的美国专利系列第09/373681号中,有详细说明,该专利为本发明的代理人共同拥有,其内容在此也特地一并作为参考。
图3A显示了绝缘体或SiO2层2,该层上沉积了屏障层或粘着层4以及种晶层6,情况相似于前面参照图1A与2A所说明的。而且,在屏障层4及种晶层6沉积在其上面之前,SiO2层2的顶部表面就被模制/蚀刻了孔穴。虽然此处所呈现的SiO2用作了绝缘体层2,但要明白,通常用作绝缘体层的其他材料,也可以按照本发明来使用。
在图3Bi中,带有或不带有磨料颗粒(未显示)的多孔衬垫型材料20,被用于有选择地从基片顶部表面(场效应区域)抛光种晶层6。附着在阳极22上的衬垫型材料20,可以按圆形运动而被转动,并被振动、从一侧到一侧地被移动,或被竖直移动,以及移动得与种晶层6相接触。衬垫型材料20和阳极22还包括出口通道21,该通道把导电材料/溶液引向基片。在推荐实施例中,在选择性地去除种晶层6的期间,阳极22、衬垫型材料20以及基片,可以转动50至2000rpm(转/分钟),但最好是转动100至1200rpm。当此种操作发生了2至60秒时,但最好是发生了5至25秒时,基片顶部表面上的种晶层6就被抛光。
当进行此种接触时,衬垫型材料20抛光余留在基片顶部表面上的种晶层6,而又不去除孔穴中的种晶层6。在这种去除步骤期间,衬垫型材料20在范围可为0.05至5psi(磅/平方英寸)的压力下,与种晶层6相接触。另外,包含了例如铜的导电溶液,可以从衬垫型材料20的出口通道21中发散出来,且可以0.01至5gpm(加仑/分钟)的量,但最好为0.1至5gpm的量被施加于基片上。当电动势施加于阳极22与导电基片之间时,少量的导电薄膜14可以沉积在基片孔穴之内,同时,衬垫型材料20就选择性地从基片顶部表面上去除种晶层6以及导电溶液。在阳极22与导电基片之间施加电动势并产生电流的目的,是为了在抛光基片顶部表面期间,避免孔穴中的种晶层6溶解。在执行此步骤期间,能以圆形运动转动,并从一侧向另一侧移动或竖直移动的基片支架(未显示),指导基片正确定位/移动。
在一个可替换实施例中,导电(保护性的或牺牲性的)薄膜14可以在电解溶液中再溶解,以便在从场效应区域中去除导电薄膜的同时且在导电材料沉积在基片孔穴中之前,即刻保护原本的种晶层6。当从场效应区域中去除种晶层6之后,可以使电极短时期(即2至10秒)去能,以便电解溶液溶解保护性的或牺牲性的薄膜14。另外,可以使基片即刻为阳极的,以促进从场效应区域去除种晶层的过程。
在选择性地从基片顶部表面上去除种晶层6的过程中,0.05至15mA/cm2(毫安/平方厘米)的、但最好为0.05至10mA/cm2的电流密度,被施加于基片。此种电流密度范围用以防止种晶层6在孔穴中溶解,并可使少量的导电薄膜14沉积在该种晶层上,如上文所述那样。请注意,重要的是,在此过程中,基片顶部表面上的种晶层6被去除,而孔穴中的种晶层6却余留着。余留在基片孔穴中的种晶层6,可以使导电材料更为有效率且更有效果地沉积,因为该种晶层比起屏障层4来,对导电材料具有更低的阻抗。
当从基片顶部表面上去除种晶层6之后,沉积电流密度可以被增大得用导电材料8填充孔穴,于是形成如图3Ci所示结构。由于余留在孔穴中的种晶层6所具有的阻抗小于基片顶部表面上的屏障层4,导电材料8就能沉积在基片孔穴中。结果,导电材料8沉积在孔穴中的种晶层6上,比沉积在基片顶部上的屏障层4上,更为有效率。换言之,导电材料8往往在基片孔穴中而非基片表面上的屏障层4上形成。导电薄膜14及余留在孔穴中的种晶层6,被包含在导电材料8中。
导电材料8能被使用阳极22和衬垫型材料20经由出口通道21而沉积在孔穴中。当从基片顶部表面上抛光种晶层6之后,衬垫型材料20就能被定位得与基片顶部表面的间隔在1微米至2毫米之间。电流密度能被增大得使阳极22和基片在5至250mA/cm2之间,但最好是在7至150mA/cm2之间,以便把导电材料8沉积在孔穴中。增大电流密度可使导电材料8以适时的方式填充基片孔穴。导电屏障层4被用于引导沉积电流。可替换的是,导电材料8能被沉积在孔穴中,同时,衬垫型材料20正与基片顶部表面相接触。
在一个备选实施例中,当选择性地去除而非电解沉积种晶层6之后,如上所述,孔穴被用化学镀或选择性的金属化学气相沉积法而填充。在此种情况下,基片被传送给化学镀电镀槽,且导电材料相应地被沉积。
返回参看图3Ci,当导电材料8沉积在孔穴中之后,就可用常规的抛光法或反应离子蚀刻法来去除屏障层4。当选择性地去除屏障层4并磨平/抛光基片顶部表面后,就形成图3D所示结构。
在一个备选实施例中,并非如图3Ci那样沉积导电材料8,而是可以增加用于沉积导电材料的沉积时间,于是就形成如图3Ciia所示结构。图3Ciia显示的包装结构,具有导电材料16沉积在孔穴中。在包装用途中,可采用电解沉积法、蒸馏法,或者其他已知的方法,把铅锌焊接合金或其他可焊接合金16沉积在孔穴中。在沉积步骤之后,就用反应离子蚀刻法去除部分的屏障层4,以导电材料16作为掩模,形成图3Ciib所示那样的结构。
在本发明的又一个实施例中,在形成图3A所示那样的结构之后,不同的导电材料层就能被沉积在基片孔穴中。
例如,图3Biia至3Biid显示了一种方法,它用于把一种或多种导电材料沉积在基片孔穴中。在图3Biia中,使用阳极22和衬垫型材料20(见图3Bi),就使第一导电材料24在5至35mA/cm2的电流密度下,以高流平的电镀溶液,沉积在基片上达一段时间例如15至60秒,以便部分地填充在孔穴中。可替换的是,也可用化学镀法或化学气相沉积法部分地填充孔穴。
第一导电材料24一般被填充得达到基片上最宽孔穴的深度的10%至60%。种晶层6被包含在第一导电材料24中。当第一导电材料24沉积在基片上之后,基片顶部表面可以被磨平/抛光,产生如图3Biib所示结构。基片顶部表面可以用衬垫型材料20磨平。
可替换的是,基片能被传送给化学机械抛光元件,以便抛光基片顶部表面。具有固定的磨料颗粒的化学机械抛光衬垫,以及施于它的抛光溶液,被用来抛光/摩擦基片3至60秒的时间,但最好是5至30秒。请注意,重要的是,在此过程中,屏障层4保留在基片上,且不被抛光。
当抛光第一导电材料24的覆盖层之后,第二导电材料26被沉积在第一导电材料24之上的孔穴中,如图3Biic所示。第二导电材料26的沉积,可以用阳极22和衬垫型材料20来执行,或可替换为在另一个沉积池中,用化学镀方法或化学气相沉积法来执行。例如,第一导电材料24可以用电解沉积法来沉积在基片上,而第二导电材料26则可以用化学镀法或化学气相沉积法来沉积。当第二导电材料26沉积在第一导电材料24上之后,就可用化学机械抛光法或反应离子蚀刻法来磨平/抛光第二导电材料26,以形成如图3Biid所示结构。
以上参照图3Biia至3Biid所说明的过程,显示了一些步骤的结合用法,该用法可被执行得以各种各样的导电材料填充孔穴。在另一个实施例中,可以执行下述按先后顺序的各个步骤:(1)部分地把第一导电材料沉积在孔穴和场效应区域中;(2)从场效应区域抛光第一导电材料;(3)使基片退火;(4)选择性地把第二导电材料沉积在孔穴中;以及(5)抛光/磨平基片。可替换的是,可以采用下列顺序的各个步骤来沉积导电材料:(1)部分地把第一导电材料沉积在孔穴和场效应区域中;(2)使基片退火;(3)从场效应区域抛光第一导电材料;(4)选择性地把第二导电材料沉积在孔穴中;以及(5)抛光/磨平基片。以上各个步骤的结合用法,在本发明中也可实行。
更详细地说,第一与第二导电材料24、26,可以是同样的或不同的材料。例如,第一导电材料可以是铜,而第二导电材料可以是铜锡合金、铜铟合金,或者其他适当的铜合金。最好第二导电材料26应当是强化抗腐蚀性并强化电磁性的,同时,它又具备优良的粘着性,便于粘着在第一导电材料24上,且粘着在其他随后沉积了的材料上,而该材料可以在它上面形成。另外,第二导电材料26可以具有类似于第一导电材料24的电阻抗,最好为第一导电材料24电阻抗的90%至200%。
当第一与第二导电材料24、26是同一种材料时,它们之间明显的边界就不存在了。另一方面,当第一与第二导电材料24、26不相同时,在执行随后的任何热处理之前,它们之间有明显的边界。明显的边界层可以用得使第一与第二导电材料24、26之间的混杂受阻碍。例如,薄的粘着层或屏障层(例如α钽、铬层、铜、铜磷合金、钨铜磷合金),就可沉积在第一与第二导电材料24、26之间,以便不希望这两种材料混杂时,防止这样的混杂。在另外的实施例中,可以用此处所说明的过程,在基片孔穴中形成两种以上导电材料。
返回参看图3A至3D所示各个方法,发明者们现在要公开一种新型的导电溶液(即导电材料8、16、24、26),它适合用于电解沉积孔穴中的铜材料,同时从基片顶部表面上抛光该铜材料。使用这种溶液,导电材料例如铜就能沉积在基片孔穴中,同时,同样的材料被从基片的场效应区域中抛光。该导电溶液,它可以是酸或碱,包括至少下列元素/化合物/来源:(1)金属离子的来源;(2)载流子源;3)氯离子源;(4)高流平的电解液添加剂的来源;(5)金属氧化剂;(6)钝化剂;以及(7)表面活化剂。
首先,本发明的导电溶液,包括例如从硫酸盐、硝酸盐、焦磷酸盐的来源浓缩的金属离子(例如铜)。该金属离子的浓度范围是1/2至40g/L(克/升),但最好是2至25g/L。
其次,导电溶液包括除了铜离子之外的载流子的来源,该来源可以包括有机/无机酸,以及例如硫酸、磷酸、醋酸、己酸、丙酸、丁酸、硫酸铵、氢氧化钾、四甲基、氢氧化铵以及类似物。酸浓度的范围,按体积计量为0.05%至18%,但最好按体积计量为0.2%至15%。
对于酸性电解液来说,出于氯离子的第三种来源,无论是有机的或无机的,皆包括在本发明的导电溶液中。氯离子的浓度应当为2至180ppm,但最好为10至170ppm。对于硷性电解液来说,可以采用铵,约为0.5至3ml/L(毫升/升)。
导电材料中的第四种元素,是来源于高流平的电解液添加剂,以及它们的化合物。这些添加剂包括售卖的添加剂,例如Cubath MD、Cubath ML,以及Ethone-OML所产的Cubath SC补充物,和/或Ultra的填充添加剂A2001以及Shipley所产的S2001。其他可用的添加剂还有,例如Technic,Inc.所产的铜晶片添加剂200B和200C,各种各样的巯基化合物如2巯基乙烷磺酸或盐、2-巯基苯并噻唑、2-巯基-5-苯并咪唑磺酸或盐,2-巯基苯并咪唑,巯基苯并三唑、酒石酸或酒石酸盐。添加剂浓度应当为按体积计量的0.01%至4%,但最好为0.05%至3%。对于硷性电解液来说,可以用Alchem公司(AlchemCorporation)制造的Kupralume501和502添加剂。
导电材料的第五种元素/化合物,包括金属氧化剂,例如有机的及无机的氧化剂。这些制剂可以包括无机的和有机的过氧化物、过硫酸盐、硝酸盐、亚硝酸盐、硫代硫酸盐、硝基苯磺酸盐,以及类似物体。从这个例子来看,重要的是氧化剂的任何成分,都不要有害地影响沉积了的材料。还可以使用有机过氧化物,例如butopronoxyl、叔丁基氢过氧化物、叔丁基过氧化物、亚硝酸异丁酯,等等。过氧化物(例如双氧水)可以用少量的苯磺酸盐(phenol sulfornate)或伯醇(即1,4-丁二醇)来使其稳定。氧化剂的浓度可以为0.1至60g/L,但最好为0.2至40g/L。其他合适的氧化剂也可用于氧化还原作用。
导电材料的第六种元素/化合物,是一种制剂,它使铜或其他金属材料钝化或增强它们的钝化。这些制剂可以包括苯并三唑,或苯并三唑与有机三唑的合成物,例如苯并三唑-1-乙腈、苯并三唑-5-羧酸、0-苯并三唑-l-基-N、N′、N′-双(四亚甲基)脲鎓六氟磷酸盐,以及它们的合成物。钝化剂的浓度应当为0.0005M至0.1M,但最好为0.001M至0.2M。另外,上述高流平的添加剂及对应的抑制剂,可以被用作钝化剂。从这个例子来看,重要之处在于,对于化学机械抛光方法来说,钝化剂的浓度是足够的,且低于使导电材料沉积所用的临阈级。高于此临阈级,就是氢而不是导电材料,能在阴极上沉积了。
除了钝化剂之外,表面活性剂例如Duponol(Dupont Chem.)也可以使用,其中,表面活性剂的浓度为20至800ppm,但最好为40至600ppm。另外,一些戊糖例如木糖、树胶醛醣等等,也可按0.05至10克/升的浓度作为去氧剂(oxygen scavenging)添加到被沉积的导电材料中。水也可以用来平衡此处所说明的导电材料。
以上公开的此种导电溶液,可以使得在把所有或大多数沉积在基片场效应区域上的衬垫型材料去除的同时,把金属沉积在基片孔穴之内。此种用于磨平及抛光器械中的配方,使图1B所示大量的金属覆盖层被消除或变得最小了。
在其他用途中,导电材料的均匀覆盖层,通过控制图3A所示结构的沉积和抛光比率,就可变得合乎要求。例如,用衬垫型材料(即图3Bi中的衬垫20)以及用磨平和抛光的电解液配方,就可使导电材料8的沉积和去除比率为10mA/cm2。因此,导电材料8就开始填充基片孔穴,同时,同样的材料被从场效应区域上抛光。当孔穴被填充了导电材料8时,沉积比率就微微增大得高于抛光比率,从而就能使导电材料的均匀覆盖层沉积在基片上。沉积和抛光比率,能通过改变电流密度、转动比率、转动周期等等,来加以调节。例如,对于沉积均匀的导电材料覆盖层来说,电流密度的范围在10至90秒的时间中,为5至30mA/cm2
在略微高于10mA/cm2(例如10.5mA/cm2)的电流密度下进行沉积,在整个基片顶部表面上就会形成薄薄的连续的均匀覆盖层。覆盖层的深度,可以为0.1至10000mA/cm2,甚至更高,这要依据所要求的结构而定。因此,通过变动沉积比率和/或抛光比率,就可获得如图3Biii所示的任何均匀的导电材料8的覆盖层了。
可以理解,此处公开的各种方法,减少了处理步骤的数量,并简化了制造芯片互连件及包装件的过程。种晶层的一些部分,被选择性地从基片顶部表面上去除,同时,种晶层的另一些部分则余留在孔穴中。于是,就能把一种或几种导电材料沉积在余留了种晶层的孔穴中。
如上所述,符合本发明的推荐方法,是选择性地在一个空腔/单元中去除种晶层并把导电材料沉积在孔穴中。然而,另一种方法是用化学机械抛光器械及单元,来首先去除种晶层,然后把基片输送给另一个不同的单元以便进行沉积。
在另一个实施例中,可以用化学镀把种晶层选择性地从基片顶部表面上去除。化学镀溶液可以在衬垫型材料中的通道之内发散,该材料紧挨着一个阳极和基片顶部表面。各个孔穴被选择性地用导电材料填充,同时,种晶层被具有磨料颗粒的衬垫型材料从基片顶部表面上去除。
在这些过程中的主要条件之一,是种晶层的去除比率约比孔穴中导电材料的沉积比率快约2至100倍。因此,在化学镀和电解沉积的情况下,当种晶层被从基片顶部表面上去除之后,基片就可被移动得离开衬垫型材料,在那儿执行沉积过程,或可替代的是,在使基片顶部表面与衬垫型材料保持实际接触的同时,进行沉积。
在其他实施例中,种晶层从基片顶部表面上的去除比率,可以与导电材料在基片孔穴中的沉积比率相同。在这种情况下,在把顶部表面上的种晶层去除的同时,就可把导电材料沉积在孔穴中。
在又一个实施例中,在选择性地从基片顶部表面上去除种晶层的同时,可以把初始的合金层沉积在基片孔穴中。例如,在选择性地从基片顶部表面上去除种晶层的初始阶段(见图3B),可以把电解溶液例如合金材料沉积在孔穴中的种晶层上,以形成初始的薄的合金层。在导电材料为铜的情况下,就可采用含有铟、镉、锡的薄合金膜,以及类似物。
当选择性地去除种晶层,并把薄的合金层(图3B中标号14所示)沉积在孔穴中之后,基片和/或阳极被去能,从而基片就可以被喷洗漂清。当漂清基片之后,基片中的孔穴,就可选择性地用适当的来源例如化学镀或电镀槽的铜来填充。
对于最适宜的互连件的性能来说,最好是通过使沉积了的铜退火来稳定结构。退火可以在室温下进行一天或更长的时间,或者在合适的炉子或管式炉中更快地退火15秒至2小时。退火温度可在60°至450℃的温度下在惰性环境中进行,例如在氮包围的即还原环境(reducing ambient)中进行,甚至在真空室中进行。
孔穴中的薄的合金层,被用于增强芯片互连件的机械、腐蚀以及电移性能。该合金层与孔穴中沉积了的导电材料相混杂,从而,一旦使基片退火,合金材料就会增强芯片互连件的结构性能。
图4A至4E的横截剖视图显示一种方法,该方法用于形成符合本发明推荐实施例的一种多层结构,该结构具有覆盖了的导电材料。如图3Ci所示被填充了的孔穴,可以用合适的屏障材料而选择性地盖住。例如,覆盖层32例如铜磷合金、镍磷合金、钨铜磷合金(CoP、NiP、WCoP),或者它们的组合,能使用已知方法而在铜材料8上形成,于是形成如图4A所示结构。覆盖层32防止导电材料氧化,起到屏障层的作用,并增强粘着性。
当覆盖层32在导电材料8的顶部上形成之后,形成于基片顶部表面上的屏障层4,就可如图4B所示,被用反应离子蚀刻法去除。当把屏障层4从基片顶部表面上去除后,第一绝缘体材料2的一些部分,也可用反应离子蚀刻法去除,于是形成图4C所示结构。例如,在第一绝缘体材料为二氧化硅(SiO2)的情况下,孔穴的深度可以为0.3至2.5um。所去除的第一绝缘体材料2的量,可以为孔穴深度的10%至20%,但最好在30%至95%之间。有足够的绝缘体材料2余留下来,以便支承几乎是不附属的孔穴。
接着,就可以用化学气相沉积法或旋转法(spin-on process),把第二绝缘体材料30沉积在图4C所示基片上,以形成图4D所示结构。第二绝缘体材料30于是被磨平/蚀刻,以便暴露出覆盖层32,如图4Ei所示那样。第二绝缘体材料30可以是低的或高的绝缘体材料。
在本发明的另一个实施例中,如图4D所示的第二绝缘体材料30,可以用平版印刷法成型。于是就能用反应离子蚀刻法把绝缘体材料30蚀刻成另外的一些孔穴。当屏障层和种晶层沉积在第二绝缘体材料30上之后,种晶层又被从第二绝缘体材料30的顶部表面上去除,且第二导电材料28被沉积在第二绝缘体材料30的孔穴中,于是形成如图4Eii所示结构。
图5A至5F的横截剖视图,显示了另一种方法,它用于形成符合本发明推荐实施例的多层结构。以化学气相沉积法、化学镀法或电解沉积法进行的透过掩模(through-mask)沉积法,可用来把第二导电材料沉积在第一导电材料上面。图5A显示了图3D所示结构。
图5B显示了光阻材料50,它被涂覆在了基片上,其中,光阻材料50的一些部分被去除得使第二导电材料可以被沉积在第一导电材料上面。第二导电材料58就沉积在如图5C所示的去除了那部分光阻材料50之处。第一与第二导电层,可以是同样的或不同的材料的。光阻材料50以及那部分屏障层4,被用常规方法去除,于是形成如图5D所示结构。第二导电材料58保持为不附属的。
接着,在把那部分屏障层4及第一绝缘体层2去除之前,用化学镀沉积法选择性地覆盖第二导电材料58。在此情况下,那部分屏障层4可以如上文所述那样,连同那部分绝缘体层2一起去除,以便形成如图5E所示结构。覆盖层60以低的或高的绝缘体材料涂覆如图5E所示那个新结构。然后,第二绝缘体层70就可以形成并被磨平,以形成图5F所示结构。
图6A至6C显示了另一种方法,它用于把导电材料沉积在基片孔穴中,但不把同样的材料沉积在基片顶部表面上。这仅仅使基片顶部表面隔离就办到了。例如,图6A所示结构,显示了沉积在屏障层4上面的二氧化硅层2。屏障层4可以是众所周知的材料,例如钽、钨,或者上文所述的氮化钽(TaN)。这些材料是用以形成均匀的表面氧化层的已知材料,它们可用阳极化电镀法电解形成。
使用阳极化电镀技术,把添加的材料蘸于电解溶液中,并对该材料施以与阴极有关的正电压,该阴极也与电解溶液相接触。表面氧化层在阳极化了的材料上形成,且表面氧化层的厚度依电解溶液以及所施电压的性质而定。一般来说,较高的阳极化电压会导致较厚的表面氧化层薄膜。在图6B中,阳极化之后,薄薄的氧化层66仅在基片顶部表面上的屏障层4上面形成。一旦薄薄的氧化层66形成了,就可以用导电材料例如铜来电镀而填充在孔穴中,而不让导电材料在氧化层66上形成,从而形成如图6C所示结构。由于氧化层66对导电材料有很高的阻抗,就形成了图6C所示结构,且因此导电材料就在基片孔穴中形成。
返回参看图6B,阳极化应当执行得使某种可评估的屏障层4直接余留在氧化层66下面。这是重要的一点,因为如果所有顶部表面上的屏障层4都被氧化了,那么,把导电材料68电镀进孔穴中就不能进行,因为没有电流穿过完全氧化了的表面上的各层。
在另一个实施例中,薄薄的种晶层(未显示)可以在图6A所示结构中的屏障层4的上方形成。在此情况下,基片顶部表面上的种晶层在阳极化期间会被溶解,且屏障层4会氧化,从而形成氧化层66。种晶层的一些部分则余留在屏障层上方的孔穴中。
为了制造图6C所示结构,图6A所示孔穴就需要在阳极化期间电绝缘。否则阳极化就会影响整个基片,包括孔穴和顶部表面。可以各种方式来达到绝缘。例如,假如孔穴又窄又深,就可以使基片降低而进入阳极化的电解溶液中而使孔穴面朝下方。被吸陷在孔穴中的气体/空气能避免电解溶液进入孔穴中,并因此能起到绝缘器的作用。
可替换的是,在把基片顶部表面暴露在阳极化的电解溶液下之前,可以把绝缘材料填充在孔穴中。例如,图7A显示了一个其中装有电解溶液70的液体室74。在图7B中,绝缘溶液72,其重量比阳极化电解溶液70轻,且它未与电解溶液70混合,被放置在液体室74中的阳极化电解溶液70的顶上。在图7C中,液体室74被升高了,使得基片顶部表面(有孔穴开口末端的那一侧)首先与绝缘溶液72相接触。用这种技术,当液体室74升高时,孔穴首先就被绝缘溶液72所填充。当液体室74进一步升高时,基片的其余顶部表面(在孔穴外面的那一部分)就由于基片顶部表面与电解溶液70相接触而被阳极化了。所以,当在基片顶部表面上进行阳极化时,孔穴外面那部分屏障层4就会形成氧化层。孔穴中的绝缘溶液72则防止氧化层在孔穴中形成。
应当注意,图7A至7C所述的方法,也能用来从基片顶部表面上去除种晶层。在此情况下,在原本的基片上就会有屏障层和种晶层。阳极化电解溶液70,可以用蚀刻电解溶液来替代,后一种溶液会与基片顶部表面上的种晶层相接触,并会化学蚀刻种晶层。然而,孔穴内的种晶层会受到绝缘溶液72的保护。一旦顶部表面上的种晶层被蚀刻掉,就可从液体室中取走基片了。可从孔穴中去除绝缘溶液72,以便接着使导电材料能被沉积在基片孔穴中。
图8A至8F显示本发明的另一个实施例,它用于把导电材料沉积在基片孔穴中。图8A显示沉积在屏障层4和种晶层6上面的绝缘层2,这相似于图3A所示结构。
在图8B中,牺牲层或保护层84,例如它为铬(Cr)的,被以25至1000A°的厚度沉积在种晶层6上面。随后,由二氧化硅制成的硬的平滑层86,它与用紫外辐射处理过的环氧树脂交联,被涂覆在铬层84上,于是形成图8C所示结构。
在图8D中,基片顶部表面接着被用例如磨粉浆衬垫或溶液磨平,从而去除硬的平滑层86、铬层84以及处在场效应区域的种晶层6。基片孔穴中的硬的平滑层86被用例如稀释的氟化氢去除,于是形成图8E所示结构。孔穴内余留着的铬层,可用专业界所知的适当溶液剥离掉。随后,就通过电镀、化学镀,以及类似方法,把导电材料88沉积在基片孔穴中。
除了用铜及其合金作为导电材料之外,其他导电材料例如铝、铁、镍、铬、铟、铅、锡、铅锡合金、无铅可焊接合金、银、锌、镉、钛、钨、钼、钌、金、钯(paladium)、钴、铑(rhondium)、铂,它们相应的合金,以及上述材料与氧、氮、氢及硫的化合物,在本发明中均可采用。例如,第一导电材料由铬组成,第二导电材料由铜组成。
在以上说明中,对许多特定细节做了说明,例如特定的材料、结构、化学物品、过程等等,以便于彻底理解本发明。然而,普通的专业人员都明白,不凭借特地说明的细节,本发明也能实行。
虽然在上文中详细说明了各个推荐实施例,但专业人员都会明白,只要不在实质上背离本发明的新颖原理和优点,就可以对示范实施例,做许多改动。

Claims (19)

1.一种用于把导电材料沉积在基片孔穴中的方法,该基片上形成了屏障层和种晶层,该方法包括下列步骤:
通过在基片和阳极之间施加电势,用连接于阳极的衬垫材料从基片顶部表面上去除种晶层的某些部分,同时防止从基片孔穴中去除其他一些部分的种晶层;
在去除种晶层的某些部分之后,暴露基片顶部表面上的某些部分的屏障层;以及
在基片和阳极之间施加电势,通过衬垫材料的开口把导电材料沉积在基片孔穴中的种晶层上面,其中基片是阴极。
2.根据权利要求1所述的方法,其特征在于:从基片顶部表面上去除种晶层的某些部分的步骤包括用具有磨料颗粒的衬垫材料抛光某些部分的种晶层的步骤。
3.根据权利要求2所述的方法,其特征在于:抛光步骤包括顺着环形方向以每分钟50至2000转的速率转动衬垫材料或基片2至60秒。
4.根据权利要求2所述的方法,其特征在于:抛光步骤包括顺着环形方向以每分钟100至1200转的速率转动衬垫材料或基片5至25秒。
5.根据权利要求2所述的方法,其特征在于:抛光步骤包括以每平方英寸0.05至5磅的压力用衬垫材料与基片顶部表面上某些部分的种晶层相接触这个步骤。
6.根据权利要求1所述的方法,其特征在于:基片包括绝缘层。
7.根据权利要求6所述的方法,其特征在于:绝缘层包括二氧化硅层。
8.根据权利要求1所述的方法,其特征在于:导电材料包括铝、铁、镍、铬、铟、铅、锡、铅锡合金、无铅可焊接合金、银、锌、镉、钛、钨、钼、钌中的一种,及它们的组合。
9.一种用于把导电材料沉积在基片孔穴中的方法,该基片上形成了屏障层和种晶层,该方法包括下列步骤:
(1)用连接于阳极的衬垫材料选择性地从基片顶部表面上去除种晶层的一些部分,同时,在基片和阳极之间施加电势,通过衬垫材料的开口把第一导电材料施加在基片孔穴中的种晶层上,其中基片是阴极;
(2)在选择性地去除种晶层的一些部分之后,暴露基片顶部表面上屏障层的一些部分;以及
(3)把第二导电材料沉积在基片孔穴中。
10.根据权利要求9所述的方法,其特征在于,步骤(1)还包括下列步骤:
用连接在阳极上的衬垫材料抛光种晶层的一些部分;以及
在阳极与基片之间应用第一电流密度,以便使第一导电材料从衬垫材料流向基片孔穴。
11.根据权利要求10所述的方法,其特征在于:步骤(3)还包括这样的步骤,即在阳极与基片之间应用第二电流密度,以便使第二导电材料从衬垫材料流向基片孔穴。
12.根据权利要求11所述的方法,其特征在于:第一电流密度包括0.05至10mA/cm2
13.根据权利要求11所述的方法,其特征在于:第一导电材料以每分钟0.1至5加仑的流率流动。
14.根据权利要求11所述的方法,其特征在于:第二电流密度包括5至250mA/cm2
15.根据权利要求9所述的方法,其特征在于:第一导电材料与第二导电材料由同样材料组成。
16.根据权利要求9所述的方法,其特征在于:第一导电材料由铬组成,第二导电材料由铜组成。
17.一种方法,它用导电材料在基片上形成均匀的覆盖导电层,该基片上形成了屏障层和种晶层,该方法包括下列步骤:
(1)把导电材料沉积在基片孔穴中,同时,用连接在阳极上的衬垫材料从场效应区域中抛光导电材料,其中衬垫材料具有开口,在基片和阳极之间施加电势,导电材料是通过衬垫材料的开口沉积在基片孔穴中的,其中的沉积比率与抛光比率相同;
(2)当孔穴中完全填充了导电材料后,加大沉积比率,使其高于抛光比率,从而在基片上形成均匀的导电材料覆盖层。
18.根据权利要求17所述的方法,其特征在于:步骤(1)包括以10mA/cm2至10.5mA/cm2的电流密度把导电材料沉积在阳极与基片之间。
19.根据权利要求17所述的方法,其特征在于:均匀的导电材料覆盖层范围为0.1至10000。
CNB008189013A 1999-09-17 2000-09-13 新型芯片互连件以及封装沉积方法与结构 Expired - Fee Related CN1238891C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/398,258 1999-09-17
US09/398,258 US6355153B1 (en) 1999-09-17 1999-09-17 Chip interconnect and packaging deposition methods and structures

Publications (2)

Publication Number Publication Date
CN1433572A CN1433572A (zh) 2003-07-30
CN1238891C true CN1238891C (zh) 2006-01-25

Family

ID=23574665

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB008189013A Expired - Fee Related CN1238891C (zh) 1999-09-17 2000-09-13 新型芯片互连件以及封装沉积方法与结构

Country Status (8)

Country Link
US (4) US6355153B1 (zh)
EP (1) EP1218937A2 (zh)
JP (1) JP2003525523A (zh)
KR (1) KR100802128B1 (zh)
CN (1) CN1238891C (zh)
AU (1) AU7484100A (zh)
TW (1) TW464928B (zh)
WO (1) WO2001020647A2 (zh)

Families Citing this family (468)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7338908B1 (en) * 2003-10-20 2008-03-04 Novellus Systems, Inc. Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
US7192494B2 (en) * 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6299741B1 (en) * 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US6432826B1 (en) * 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
JP4644954B2 (ja) * 2000-03-09 2011-03-09 ソニー株式会社 研磨装置
US20020081842A1 (en) * 2000-04-14 2002-06-27 Sambucetti Carlos J. Electroless metal liner formation methods
TW571005B (en) * 2000-06-29 2004-01-11 Ebara Corp Method and apparatus for forming copper interconnects, and polishing liquid and polishing method
US6921551B2 (en) * 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US6632343B1 (en) * 2000-08-30 2003-10-14 Micron Technology, Inc. Method and apparatus for electrolytic plating of surface metals
WO2002023613A2 (en) * 2000-09-15 2002-03-21 Rodel Holdings, Inc. Metal cmp process with reduced dishing
US20020090484A1 (en) * 2000-10-20 2002-07-11 Shipley Company, L.L.C. Plating bath
JP4129971B2 (ja) * 2000-12-01 2008-08-06 新光電気工業株式会社 配線基板の製造方法
US6896776B2 (en) * 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
US6881319B2 (en) * 2000-12-20 2005-04-19 Shipley Company, L.L.C. Electrolytic copper plating solution and method for controlling the same
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US6534863B2 (en) * 2001-02-09 2003-03-18 International Business Machines Corporation Common ball-limiting metallurgy for I/O sites
US20050081744A1 (en) * 2003-10-16 2005-04-21 Semitool, Inc. Electroplating compositions and methods for electroplating
US7582564B2 (en) * 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US7232514B2 (en) * 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7128825B2 (en) * 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US7189647B2 (en) * 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
WO2002103782A2 (en) * 2001-06-14 2002-12-27 Mattson Technology, Inc. Barrier enhancement process for copper interconnects
US20020197492A1 (en) * 2001-06-25 2002-12-26 Ling Hao Selective plating on plastic components
US6890855B2 (en) * 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
US6455413B1 (en) * 2001-06-27 2002-09-24 Advanced Micro Devices, Inc. Pre-fill CMP and electroplating method for integrated circuits
US7070687B2 (en) * 2001-08-14 2006-07-04 Intel Corporation Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
EP1485756A2 (en) * 2001-10-19 2004-12-15 Koninklijke Philips Electronics N.V. Method of forming a pattern of sub-micron broad features
US6455434B1 (en) * 2001-10-23 2002-09-24 International Business Machines Corporation Prevention of slurry build-up within wafer topography during polishing
US6645853B1 (en) * 2001-12-05 2003-11-11 Advanced Micro Devices, Inc. Interconnects with improved barrier layer adhesion
EP1322146A1 (en) * 2001-12-18 2003-06-25 Phoenix Precision Technology Corporation Method of electroplating solder bumps on an organic circuit board
US20070295611A1 (en) * 2001-12-21 2007-12-27 Liu Feng Q Method and composition for polishing a substrate
KR100444308B1 (ko) * 2001-12-29 2004-08-16 주식회사 하이닉스반도체 반도체 소자의 형성 방법
US20030146102A1 (en) * 2002-02-05 2003-08-07 Applied Materials, Inc. Method for forming copper interconnects
US6793797B2 (en) * 2002-03-26 2004-09-21 Taiwan Semiconductor Manufacturing Co., Ltd Method for integrating an electrodeposition and electro-mechanical polishing process
US20040072445A1 (en) * 2002-07-11 2004-04-15 Applied Materials, Inc. Effective method to improve surface finish in electrochemically assisted CMP
US6984301B2 (en) * 2002-07-18 2006-01-10 Micron Technology, Inc. Methods of forming capacitor constructions
US7138039B2 (en) * 2003-01-21 2006-11-21 Applied Materials, Inc. Liquid isolation of contact rings
US20040145874A1 (en) * 2003-01-23 2004-07-29 Stephane Pinel Method, system, and apparatus for embedding circuits
US7087144B2 (en) * 2003-01-31 2006-08-08 Applied Materials, Inc. Contact ring with embedded flexible contacts
US6972081B2 (en) * 2003-02-05 2005-12-06 Xerox Corporation Fabrication of embedded vertical spiral inductor for multichip module (MCM) package
US7025861B2 (en) * 2003-02-06 2006-04-11 Applied Materials Contact plating apparatus
US7390429B2 (en) * 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US20050016861A1 (en) * 2003-07-24 2005-01-27 Thomas Laursen Method for planarizing a work piece
JP2005082843A (ja) * 2003-09-05 2005-03-31 Ebara Corp 電解液管理方法及び管理装置
JP2005100540A (ja) * 2003-09-25 2005-04-14 Fuji Electric Holdings Co Ltd 磁気転写用マスタディスクの製造方法
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US7531463B2 (en) * 2003-10-20 2009-05-12 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US8372757B2 (en) 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US8530359B2 (en) * 2003-10-20 2013-09-10 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US7972970B2 (en) 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20050218000A1 (en) * 2004-04-06 2005-10-06 Applied Materials, Inc. Conditioning of contact leads for metal plating systems
US7285195B2 (en) * 2004-06-24 2007-10-23 Applied Materials, Inc. Electric field reducing thrust plate
US9318378B2 (en) * 2004-08-21 2016-04-19 Globalfoundries Singapore Pte. Ltd. Slot designs in wide metal lines
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7084064B2 (en) * 2004-09-14 2006-08-01 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
TW200727356A (en) * 2005-01-28 2007-07-16 Applied Materials Inc Tungsten electroprocessing
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
US20060219663A1 (en) * 2005-03-31 2006-10-05 Applied Materials, Inc. Metal CMP process on one or more polishing stations using slurries with oxidizers
US20060228934A1 (en) * 2005-04-12 2006-10-12 Basol Bulent M Conductive materials for low resistance interconnects and methods of forming the same
JP4628423B2 (ja) * 2005-04-14 2011-02-09 昭和電工株式会社 基板の研磨及び製造方法
US20060237799A1 (en) * 2005-04-21 2006-10-26 Lsi Logic Corporation Carbon nanotube memory cells having flat bottom electrode contact surface
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20070014958A1 (en) * 2005-07-08 2007-01-18 Chaplin Ernest R Hanger labels, label assemblies and methods for forming the same
US7429529B2 (en) * 2005-08-05 2008-09-30 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
WO2007021980A2 (en) * 2005-08-12 2007-02-22 Isotron Corporation Compositionally modulated composite materials and methods for making the same
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
TW200720493A (en) * 2005-10-31 2007-06-01 Applied Materials Inc Electrochemical method for ecmp polishing pad conditioning
JP4797611B2 (ja) * 2005-12-09 2011-10-19 富士通株式会社 電気めっき方法、磁気ヘッド用コイルの製造方法及び半導体装置の製造方法
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US7622382B2 (en) * 2006-03-29 2009-11-24 Intel Corporation Filling narrow and high aspect ratio openings with electroless deposition
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
JP4509968B2 (ja) * 2006-05-25 2010-07-21 株式会社荏原製作所 めっき装置
US20070298607A1 (en) * 2006-06-23 2007-12-27 Andryushchenko Tatyana N Method for copper damascence fill for forming an interconnect
US8500985B2 (en) * 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
KR100744419B1 (ko) * 2006-08-03 2007-07-30 동부일렉트로닉스 주식회사 반도체 소자 및 반도체 소자의 제조 방법
US20080041727A1 (en) * 2006-08-18 2008-02-21 Semitool, Inc. Method and system for depositing alloy composition
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080237048A1 (en) * 2007-03-30 2008-10-02 Ismail Emesh Method and apparatus for selective electrofilling of through-wafer vias
KR100871768B1 (ko) * 2007-05-18 2008-12-05 주식회사 동부하이텍 반도체 소자 및 boac/coa 제조 방법
FR2917894A1 (fr) * 2007-06-22 2008-12-26 Commissariat Energie Atomique Procede de localisation d'un catalyseur destine a la croissance de nanotubes dans une structure d'interconnexion
US7732922B2 (en) * 2008-01-07 2010-06-08 International Business Machines Corporation Simultaneous grain modulation for BEOL applications
US20090188805A1 (en) * 2008-01-25 2009-07-30 Government Of The United States Of America, As Represented By The Superconformal electrodeposition of nickel iron and cobalt magnetic alloys
US7951414B2 (en) * 2008-03-20 2011-05-31 Micron Technology, Inc. Methods of forming electrically conductive structures
US20090256217A1 (en) * 2008-04-14 2009-10-15 Lsi Logic Corporation Carbon nanotube memory cells having flat bottom electrode contact surface
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US9758891B2 (en) 2008-07-07 2017-09-12 Modumetal, Inc. Low stress property modulated materials and methods of their preparation
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8242600B2 (en) * 2009-05-19 2012-08-14 International Business Machines Corporation Redundant metal barrier structure for interconnect applications
BR122013014461B1 (pt) 2009-06-08 2020-10-20 Modumetal, Inc revestimento de multicamadas resistente à corrosão em um substrato e método de eletrodeposição para produção de um revestimento de multicamada
US20120103820A1 (en) * 2009-07-01 2012-05-03 Junnosuke Sekiguchi Electrolytic copper plating solution for filling for forming microwiring of copper for ulsi
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
CN102484061B (zh) 2009-09-02 2015-08-19 诺发系统有限公司 降低的各向同性蚀刻剂材料消耗及废料产生
CN103261479B (zh) 2010-07-22 2015-12-02 莫杜美拓有限公司 纳米层压黄铜合金的材料及其电化学沉积方法
US8435887B2 (en) * 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8609540B2 (en) 2011-06-20 2013-12-17 Tessera, Inc. Reliable packaging and interconnect structures
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9634412B2 (en) * 2011-07-15 2017-04-25 Tessera, Inc. Connector structures and methods
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
EP2744928B1 (en) 2011-08-18 2018-01-10 Apple Inc. Anodization and plating surface treatments
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9683305B2 (en) 2011-12-20 2017-06-20 Apple Inc. Metal surface and process for treating a metal surface
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
EP2971264A4 (en) 2013-03-15 2017-05-31 Modumetal, Inc. Nanolaminate coatings
WO2014145771A1 (en) 2013-03-15 2014-09-18 Modumetal, Inc. Electrodeposited compositions and nanolaminated alloys for articles prepared by additive manufacturing processes
EA201500947A1 (ru) 2013-03-15 2016-03-31 Модьюметл, Инк. Устройство и способ электроосаждения нанослоистого покрытия
WO2016044720A1 (en) 2014-09-18 2016-03-24 Modumetal, Inc. A method and apparatus for continuously applying nanolaminate metal coatings
WO2014145588A1 (en) 2013-03-15 2014-09-18 Modumetal, Inc. Nickel chromium nanolaminate coating having high hardness
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
EA201790644A1 (ru) 2014-09-18 2017-08-31 Модьюметал, Инк. Способы изготовления изделий электроосаждением и процессами послойного синтеза
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9548273B2 (en) 2014-12-04 2017-01-17 Invensas Corporation Integrated circuit assemblies with rigid layers used for protection against mechanical thinning and for other purposes, and methods of fabricating such assemblies
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9859124B2 (en) * 2015-04-17 2018-01-02 Taiwan Semiconductor Manufacturing Company Ltd Method of manufacturing semiconductor device with recess
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9922874B2 (en) 2016-07-01 2018-03-20 Applied Materials, Inc. Methods of enhancing polymer adhesion to copper
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
EA201990655A1 (ru) 2016-09-08 2019-09-30 Модьюметал, Инк. Способы получения многослойных покрытий на заготовках и выполненные ими изделия
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11032914B2 (en) * 2016-12-23 2021-06-08 Atotech Deutschland Gmbh Method of forming a solderable solder deposit on a contact pad
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CA3057836A1 (en) 2017-03-24 2018-09-27 Modumetal, Inc. Lift plungers with electrodeposited coatings, and systems and methods for producing the same
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
CN110770372B (zh) 2017-04-21 2022-10-11 莫杜美拓有限公司 具有电沉积涂层的管状制品及其生产系统和方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10840205B2 (en) * 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
TWI672893B (zh) * 2018-02-09 2019-09-21 建準電機工業股份有限公司 馬達及其馬達殼
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
CN112272717B (zh) 2018-04-27 2024-01-05 莫杜美拓有限公司 用于使用旋转生产具有纳米层压物涂层的多个制品的设备、系统和方法
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN110408981A (zh) * 2019-09-11 2019-11-05 河南理工大学 一种微导线的电镀连接装置
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11512406B2 (en) * 2019-10-17 2022-11-29 Rohm And Haas Electronic Materials Llc Method of enhancing copper electroplating
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11430753B2 (en) 2020-07-08 2022-08-30 Raytheon Company Iterative formation of damascene interconnects
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
IT202100033113A1 (it) * 2021-12-30 2023-06-30 Nuovo Pignone Tecnologie Srl Sistema e metodo per realizzare placcatura in nichel non-elettrolitica

Family Cites Families (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2540602A (en) * 1946-07-03 1951-02-06 Lockheed Aircraft Corp Method and apparatus for the surface treatment of metals
FR933812A (fr) 1946-09-23 1948-05-03 Compteur totalisateur pour la marque des jeux de cartes et analogues
BE517552A (zh) * 1951-05-17
US3448023A (en) * 1966-01-20 1969-06-03 Hammond Machinery Builders Inc Belt type electro-chemical (or electrolytic) grinding machine
US3328273A (en) * 1966-08-15 1967-06-27 Udylite Corp Electro-deposition of copper from acidic baths
FR1585605A (zh) * 1968-04-29 1970-01-30
US3595089A (en) * 1969-09-23 1971-07-27 Frank J Jirik Rotary grain sampler device
DE2008664A1 (en) * 1970-02-25 1971-09-09 Licentia Gmbh Galvanically or chemically assisted mechanic
US3959089A (en) * 1972-07-31 1976-05-25 Watts John Dawson Surface finishing and plating method
US4315985A (en) * 1972-11-30 1982-02-16 International Business Machines Corporation Fine-line circuit fabrication and photoresist application therefor
FR2276395A1 (fr) 1974-06-25 1976-01-23 Lea Ronal Inc Bains d'electroplacage non cyanures ameliores
US4024029A (en) * 1974-10-17 1977-05-17 National Research Development Corporation Electrodeposition
US4080513A (en) * 1975-11-03 1978-03-21 Metropolitan Circuits Incorporated Of California Molded circuit board substrate
GB2081742B (en) * 1980-07-17 1983-07-20 Rolls Royce Manufacture of articles having internal passages by electromachining
FI802444A (fi) * 1980-08-05 1982-02-06 Outokumpu Oy Apparat foer elektrolytisk polering
JPS5819170Y2 (ja) * 1980-08-16 1983-04-19 征一郎 相合 半導体ウェハ−のめっき装置
FR2510145B1 (fr) * 1981-07-24 1986-02-07 Rhone Poulenc Spec Chim Additif pour bain de cuivrage electrolytique acide, son procede de preparation et son application au cuivrage des circuits imprimes
EP0093381B1 (en) * 1982-04-27 1986-07-23 The Wellcome Foundation Limited Tricyclic compounds, preparation, use and intermediates
US4610772A (en) * 1985-07-22 1986-09-09 The Carolinch Company Electrolytic plating apparatus
US5316974A (en) * 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
US5024735A (en) * 1989-02-15 1991-06-18 Kadija Igor V Method and apparatus for manufacturing interconnects with fine lines and spacing
US5084071A (en) * 1989-03-07 1992-01-28 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US5055425A (en) 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5292399A (en) * 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
US5098860A (en) 1990-05-07 1992-03-24 The Boeing Company Method of fabricating high-density interconnect structures having tantalum/tantalum oxide layers
US5151168A (en) 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5174886A (en) 1991-02-22 1992-12-29 Mcgean-Rohco, Inc. High-throw acid copper plating using inert electrolyte
US6395163B1 (en) * 1992-08-01 2002-05-28 Atotech Deutschland Gmbh Process for the electrolytic processing especially of flat items and arrangement for implementing the process
DE4324330C2 (de) * 1992-08-01 1994-11-17 Atotech Deutschland Gmbh Verfahren zum elektrolytischen Behandeln von insbesondere flachem Behandlungsgut, sowie Anordnung, insbesondere zur Durchführung dieses Verfahrens
US5486234A (en) * 1993-07-16 1996-01-23 The United States Of America As Represented By The United States Department Of Energy Removal of field and embedded metal by spin spray etching
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
JP3172758B2 (ja) * 1993-11-20 2001-06-04 東京エレクトロン株式会社 プラズマエッチング方法
JP3397501B2 (ja) * 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
US5486282A (en) * 1994-11-30 1996-01-23 Ibm Corporation Electroetching process for seed layer removal in electrochemical fabrication of wafers
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US6537133B1 (en) * 1995-03-28 2003-03-25 Applied Materials, Inc. Method for in-situ endpoint detection for chemical mechanical polishing operations
US5516412A (en) * 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
EP0751567B1 (en) 1995-06-27 2007-11-28 International Business Machines Corporation Copper alloys for chip interconnections and method of making
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5863412A (en) * 1995-10-17 1999-01-26 Canon Kabushiki Kaisha Etching method and process for producing a semiconductor element using said etching method
US5575206A (en) 1995-10-25 1996-11-19 Elexon Ltd. Screen printing apparatus with pallet registration
US5762544A (en) * 1995-10-27 1998-06-09 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
US5575706A (en) * 1996-01-11 1996-11-19 Taiwan Semiconductor Manufacturing Company Ltd. Chemical/mechanical planarization (CMP) apparatus and polish method
US5858813A (en) * 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
US5714707A (en) * 1996-05-13 1998-02-03 Talon Manufacturing Company, Inc. Process and apparatus for demilitarization of small caliber primed cartridge cases
US5862605A (en) * 1996-05-24 1999-01-26 Ebara Corporation Vaporizer apparatus
US5723387A (en) 1996-07-22 1998-03-03 Industrial Technology Research Institute Method and apparatus for forming very small scale Cu interconnect metallurgy on semiconductor substrates
US5793272A (en) * 1996-08-23 1998-08-11 International Business Machines Corporation Integrated circuit toroidal inductor
US5773364A (en) * 1996-10-21 1998-06-30 Motorola, Inc. Method for using ammonium salt slurries for chemical mechanical polishing (CMP)
US5911619A (en) 1997-03-26 1999-06-15 International Business Machines Corporation Apparatus for electrochemical mechanical planarization
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
JP3462970B2 (ja) * 1997-04-28 2003-11-05 三菱電機株式会社 メッキ処理装置およびメッキ処理方法
US5922091A (en) * 1997-05-16 1999-07-13 National Science Council Of Republic Of China Chemical mechanical polishing slurry for metallic thin film
US6228231B1 (en) * 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6074546A (en) * 1997-08-21 2000-06-13 Rodel Holdings, Inc. Method for photoelectrochemical polishing of silicon wafers
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
FR2773262B1 (fr) 1997-12-30 2000-03-10 Sgs Thomson Microelectronics Procede de formation d'elements conducteurs dans un circuit integre
US6251528B1 (en) * 1998-01-09 2001-06-26 International Business Machines Corporation Method to plate C4 to copper stud
US6140234A (en) * 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6004880A (en) * 1998-02-20 1999-12-21 Lsi Logic Corporation Method of single step damascene process for deposition and global planarization
JP3191759B2 (ja) * 1998-02-20 2001-07-23 日本電気株式会社 半導体装置の製造方法
US6071388A (en) * 1998-05-29 2000-06-06 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6187152B1 (en) * 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
US6074544A (en) * 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6709565B2 (en) * 1998-10-26 2004-03-23 Novellus Systems, Inc. Method and apparatus for uniform electropolishing of damascene ic structures by selective agitation
US7531079B1 (en) * 1998-10-26 2009-05-12 Novellus Systems, Inc. Method and apparatus for uniform electropolishing of damascene IC structures by selective agitation
US6176992B1 (en) * 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6251236B1 (en) * 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6497800B1 (en) * 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US6534116B2 (en) * 2000-08-10 2003-03-18 Nutool, Inc. Plating method and apparatus that creates a differential between additive disposed on a top surface and a cavity surface of a workpiece using an external influence
US6251235B1 (en) * 1999-03-30 2001-06-26 Nutool, Inc. Apparatus for forming an electrical contact with a semiconductor substrate
US6902659B2 (en) * 1998-12-01 2005-06-07 Asm Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6610190B2 (en) * 2000-11-03 2003-08-26 Nutool, Inc. Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate
US6162728A (en) * 1998-12-18 2000-12-19 Texas Instruments Incorporated Method to optimize copper chemical-mechanical polishing in a copper damascene interconnect process for integrated circuit applications
WO2000040779A1 (en) * 1998-12-31 2000-07-13 Semitool, Inc. Method, chemistry, and apparatus for high deposition rate solder electroplating on a microelectronic workpiece
US6353623B1 (en) * 1999-01-04 2002-03-05 Uniphase Telecommunications Products, Inc. Temperature-corrected wavelength monitoring and control apparatus
US6287968B1 (en) * 1999-01-04 2001-09-11 Advanced Micro Devices, Inc. Method of defining copper seed layer for selective electroless plating processing
JP2000232078A (ja) * 1999-02-10 2000-08-22 Toshiba Corp メッキ方法及びメッキ装置
US6217734B1 (en) * 1999-02-23 2001-04-17 International Business Machines Corporation Electroplating electrical contacts
US6066030A (en) * 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
TW539763B (en) * 1999-06-18 2003-07-01 Ibm Method for printing a catalyst on substrates for electroless deposition
JP3422731B2 (ja) * 1999-07-23 2003-06-30 理化学研究所 Elidセンタレス研削装置
US6300250B1 (en) * 1999-08-09 2001-10-09 Taiwan Semiconductor Manufacturing Company Method of forming bumps for flip chip applications
US6224737B1 (en) * 1999-08-19 2001-05-01 Taiwan Semiconductor Manufacturing Company Method for improvement of gap filling capability of electrochemical deposition of copper
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6756307B1 (en) * 1999-10-05 2004-06-29 Novellus Systems, Inc. Apparatus for electrically planarizing semiconductor wafers
JP3503546B2 (ja) * 1999-11-01 2004-03-08 信越化学工業株式会社 金属パターンの形成方法
US6354916B1 (en) * 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US7059948B2 (en) * 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US20060118425A1 (en) * 2000-04-19 2006-06-08 Basol Bulent M Process to minimize and/or eliminate conductive material coating over the top surface of a patterned substrate
US6368484B1 (en) 2000-05-09 2002-04-09 International Business Machines Corporation Selective plating process
US6346479B1 (en) * 2000-06-14 2002-02-12 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device having copper interconnects
US6858121B2 (en) * 2000-08-10 2005-02-22 Nutool, Inc. Method and apparatus for filling low aspect ratio cavities with conductive material at high rate
US6921551B2 (en) * 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US7220166B2 (en) * 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US7211174B2 (en) * 2001-01-17 2007-05-01 Novellus Systems, Inc. Method and system to provide electrical contacts for electrotreating processes
US7211186B2 (en) * 2001-01-17 2007-05-01 Novellus Systems, Inc. Method and system to provide electrical contacts for electrotreating processes
US7189647B2 (en) * 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
TW584899B (en) * 2001-07-20 2004-04-21 Nutool Inc Planar metal electroprocessing
US20030116440A1 (en) * 2001-12-21 2003-06-26 Texas Instruments Incorporated Electroplater and method
US20050069645A1 (en) * 2003-05-01 2005-03-31 Johns Hopkins University Method of electrolytically depositing materials in a pattern directed by surfactant distribution
US7531463B2 (en) * 2003-10-20 2009-05-12 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US20050126919A1 (en) * 2003-11-07 2005-06-16 Makoto Kubota Plating method, plating apparatus and a method of forming fine circuit wiring
US7182677B2 (en) * 2005-01-14 2007-02-27 Applied Materials, Inc. Chemical mechanical polishing pad for controlling polishing slurry distribution
WO2006119058A1 (en) * 2005-04-29 2006-11-09 E. I. Du Pont De Nemours And Company Membrane-mediated electropolishing with topographically patterned membranes
US20070141818A1 (en) * 2005-12-19 2007-06-21 Bulent Basol Method of depositing materials on full face of a wafer
US7550070B2 (en) * 2006-02-03 2009-06-23 Novellus Systems, Inc. Electrode and pad assembly for processing conductive layers
US20080003485A1 (en) * 2006-06-30 2008-01-03 Ramkumar Krishnan Fuel cell having patterned solid proton conducting electrolytes

Also Published As

Publication number Publication date
EP1218937A2 (en) 2002-07-03
KR100802128B1 (ko) 2008-02-11
CN1433572A (zh) 2003-07-30
TW464928B (en) 2001-11-21
US7147766B2 (en) 2006-12-12
US20060070885A1 (en) 2006-04-06
JP2003525523A (ja) 2003-08-26
US6355153B1 (en) 2002-03-12
US20030164302A1 (en) 2003-09-04
US20020033342A1 (en) 2002-03-21
KR20020095159A (ko) 2002-12-20
WO2001020647A3 (en) 2002-01-17
AU7484100A (en) 2001-04-17
WO2001020647A2 (en) 2001-03-22
US6905588B2 (en) 2005-06-14

Similar Documents

Publication Publication Date Title
CN1238891C (zh) 新型芯片互连件以及封装沉积方法与结构
US6534116B2 (en) Plating method and apparatus that creates a differential between additive disposed on a top surface and a cavity surface of a workpiece using an external influence
CN1327479C (zh) 电子器件的制造方法
TWI609100B (zh) 使用反向電流除鍍以清洗電鍍基板夾持具
US7115510B2 (en) Method for electrochemically processing a workpiece
US20050145489A1 (en) Electroetching process and system
US7799684B1 (en) Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
CN1636084A (zh) 用于高深宽比特征结构的动态脉冲电镀
CN1293719A (zh) 在工件上电镀金属的装置和方法
CA2305456A1 (en) Copper metallization of silicon wafers using insoluble anodes
TW201027668A (en) Process for through silicon via filling
KR20040051591A (ko) 가공물의 미리설정된 부분에서의 퇴적을 제어하기 위한도금 방법 및 장치
US6858121B2 (en) Method and apparatus for filling low aspect ratio cavities with conductive material at high rate
US20060081477A1 (en) Method and apparatus for establishing additive differential on surfaces for preferential plating
US20050199507A1 (en) Chemical structures and compositions of ECP additives to reduce pit defects
CN1965110A (zh) 能够在阻挡金属上直接镀铜的阻挡层表面处理的方法
US20050092616A1 (en) Baths, methods, and tools for superconformal deposition of conductive materials other than copper
WO2003085713A1 (en) Homogeneous copper-tin alloy plating for enhancement of electro-migration resistance in interconnects
JP2001271197A (ja) 高い耐力強度および改良された接着性を提供する集積回路中に金属を沈着させるための電気メッキ溶液
TW200535281A (en) Method and apparatus for fabricating metal layer
JP4537523B2 (ja) Cu系埋込配線のパルスメッキ方法
KR100702805B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR20030040394A (ko) 외부 영향을 이용하여 작업물의 최상면과 공동면상에놓여진 첨가제 사이의 차이를 형성하는 도금방법 및 장치
MXPA00003455A (en) Copper metallization of silicon wafers using insoluble anodes
JPH07252692A (ja) 分散めっき法と装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20060125

Termination date: 20150913

EXPY Termination of patent right or utility model