CN1137199A - 触发器控制器 - Google Patents

触发器控制器 Download PDF

Info

Publication number
CN1137199A
CN1137199A CN96100473A CN96100473A CN1137199A CN 1137199 A CN1137199 A CN 1137199A CN 96100473 A CN96100473 A CN 96100473A CN 96100473 A CN96100473 A CN 96100473A CN 1137199 A CN1137199 A CN 1137199A
Authority
CN
China
Prior art keywords
signal
inverter
output
transmission gate
clock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN96100473A
Other languages
English (en)
Other versions
CN1112767C (zh
Inventor
文甲周
赵成来
权赫庠
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN1137199A publication Critical patent/CN1137199A/zh
Application granted granted Critical
Publication of CN1112767C publication Critical patent/CN1112767C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318552Clock circuits details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318555Control logic
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/027Generators characterised by the type of circuit or by the means used for producing pulses by the use of logic circuits, with internal or external positive feedback
    • H03K3/037Bistable circuits
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2201/00Indexing scheme relating to error detection, to error correction, and to monitoring
    • G06F2201/88Monitoring involving counting

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Logic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

本发明提供了一个触发器控制器,该触发器控制器包括通过对时钟允许信号进行响应来允许或禁止时钟信号的时钟控制器和一个或多个在与时钟信号同步时通过对模式选择信号进行响应来有选择性地锁存功能模式信号或扫描测试模式信号的触发器。作为结果,容易产生测试矢量,使用相对来说较少的测试矢量就能使故障覆盖率达到所要求的程度。并且,通过禁止施加给触发器的时钟信号的不必要的部分减小了功率损耗。

Description

触发器控制器
本发明涉及一触发器控制器,特别地,涉及一容易产生测试矢量并增加故障覆盖率(fault coverage)的触发器控制器。
逻辑电路测试典型地包括功能测试和故障测试,当所测试的集成电路的集成度相对来说较低时,只通过功能测试就能达到足够的故障覆盖率。但是,对于高的集成度的电路,制造过程中产生的错误仅使用用于功能测试的测试矢量是无法恰当地被检测出来的。
同时,由于集成电路的生产成本的增加与测试时间直接成比例,所以应该使用尽可能少的测试矢量来达到所要求的故障覆盖率。因此,全体扫描(the full scan)和部分扫描方法最近都被使用。在全体扫描中,一个集成电路的每个部件(device)都被完全地测试,可以提供好的故障覆盖率。但是,由于每个存储单元要增加一个电路,芯片的面积被增加了。另一方面,在部分扫描测试中,一部分部件不被测试,一部分存储单元增加了一个电路,使得芯片的面积与全体扫描测试方法相比有所减小,但是不能提供好的故障覆盖率。这里,用于全体或部分扫描的存储单元(storge elements)通常被设计成具有两个路径(path),一个功能数据路径和一个扫描数据路径。
图1是一个用于说明具有一个常规的扫描测试功能的触发器(flip-flop)的电路图。这里,参考标号1代表一个输入选择器,参考标号2代表一个触发电路,S代表一个控制信号,Din代表一个数据输入信号,Sin代表用于扫描测试的输入信号,Dout代表数据输出信号,并且CK与CK分别代表一个时钟信号和一个反相时钟信号。
输入选择器1包括传输门T1与T2以及反相器I1,并且根据控制信号S来选择Din和Sin信号中的一个。
触发电路2是一个通常的两级的双相静态触发器,并且包括一个主锁存器3和一个从锁存器4。这里,主锁存器3包括两个传输门T3与T4和两个反相器I2与I3,从锁存器包括两个传输门T5与T6和两个反相器I4与I5。
按以上所构成的触发器的操作将在下面进行描述。当控制信号S是高电平时,输入选择器1选择Din输入。相反地,当控制信号S是低电平时,输入选择器1选择Sin输入。这里,触发电路2与时钟信号CK相同步地将从输入选择器1选择的数据输出到Dout。
图2是说明常规的完全扫描方法的一个图。这里,多个如图1所示的触发器被相互之间串行地连接起来。
具有扫描测试功能的触发器F1-Fn接收时钟信号CK和控制信号S,前一级的触发器的Dout是下一级的Sn的输入。
由于触发器的大部分输入信号Din与Sin只通过一个缓冲器(没有表示出来)来被连接,时钟信号CK总是处于允许状态,而与它是在功能模式还是在扫描测试模式无关。
另外,控制施加给每个触发器的时钟信号并独立地和功能模式或扫描测试模式相对应都是困难的。
本发明的一个目的是提供一个触发器控制器,该触发器控制器容易产生测试矢量,以较少的测试矢量增加故障覆盖率,并且通过禁止使用时钟信号的非必须的部分来减小功率消耗。
为了实现以上目的,所提供的触发器控制器包括:用于产生第二时钟信号的时钟控制器,该第二时钟信号的产生是通过对时钟允许信号进行响应来允许或禁止第一时钟信号;在与第二时钟信号同步时,对模式选择信号进行响应来选择性地锁存功能模式信号或扫描测试模式信号的多个触发器。
这里,时钟控制器包括:对扫描测试模式置位信号进行反相的第一反相器;对复位信号(reset signal)进行反相的第二反相器;对第一和第二反相器的输出进行与非操作(NAND-operating)的第一逻辑门;通过对第一个逻辑门的输出进行反相来输出模式选择信号的第三反相器;当扫描测试模式置位信号处于第一逻辑态时传输功能模式时钟允许信号的第一传输门;当扫描测试模式置位信号处于第二逻辑态时传输扫描模式时钟允许信号的第二传输门;当第一时钟信号处于第三逻辑态时传输第一与第二传输门的输出的第三传输门;用于对第三传输门的输出进行反相的第四反相器;用于对第四反相器的输出进行反相的第五反相器;当时钟信号处于第四逻辑态时将第五反相器的输出传输到第四反相器的第四传输门;通过对第一时钟信号和第四反相器的输出进行与操作来输出第二时钟信号的第二逻辑门;当扫描测试模式置位信号处于第五逻辑态时传输第二反相器的输出信号的第五传输门;当扫描测试模式置位信号处于第六逻辑态时传输扫描测试模式复位信号的第六传输门;以及将第五与第六传输门的输出进行反相的第六反相器。
并且,触发器包括:用于将模式选择信号进行反相的第七反相器;当第七反相器的输出处于第七逻辑态时传输功能模式信号的第七传输门;用于对扫描测试模式信号和扫描测试模式复位信号进行非操作的第三逻辑门;当模式选择信号处于第八逻辑态时传输第三逻辑门的输出的第八传输门;当第二时钟信号处于第九逻辑态时传输第七与第八传输门的输出的第九传输门;用于对第九传输门的输出进行反相的第八反相器;用于对第八反相器的输出进行反相的第九反相器;当第二时钟信号处于第十逻辑态时传输第九反相器的输出信号的第十传输门;当第二时钟信号处于第九逻辑态时传输第八反相器的输出的第十一传输门;用于对第十一传输门的输出进行反相的第十反相器。当第二时钟信号处于第十逻辑态时对第十反相器的输出进行反相的第十一反相器;以及当第二时钟信号处于第九逻辑态时将第十一反相器的输出传输到第十反相器的第十二传输门。
本发明的以上目的和优点通过详细描述优先的实施例并参照附图将变得更加明显,其中:
图1是一个用于说明具有一个常规的扫描测试功能的触发器的电路图;
图2是说明常规的全体扫描方法的一个图;
图3是一个用于说明根据本发明的触发器控制器的结构的示意图;
图4显示了用于说明图3所示的触发器控制器的操作的操作波形图;
图5是用于说明根据本发明的一个优先的实施例的触发器控制器的一个框图;
图6是用于说明图5所示的触发器控制装置50的一个详细的电路图;
图7是用于说明图5所示的多个触发器中的一个的一个详细的电路图;
图8是用于说明根据本发明的另一个优先的实施例的具有同步复位功能的触发器控制器的图;
图9显示了用于说明图8所示的触发器控制器的操作的操作波形图;以及
图10显示了当在图5所示的fmen信号中发生误操作(glitch)时的操作波形图。
图3所示的一个触发器控制器包括一个触发器控制器10和多个触发器F31,F32,F33,…,Fn。
在图3和图4中,CLK代表系统时钟信号,S代表模式选择信号,EN代表用于决定系统时钟信号是否被允许的时钟允许信号,IN代表数据输入信号。
首先,触发器控制器10在缓冲之后输出模式选择信号S。当时钟允许信号EN为高电平时,触发器控制器10允许系统时钟CLK操作并且将它作为时钟信号CEN输出。因此,当时钟允许信号EN是高电平时,数据输入信号IN被允许。
触发器F31,F32,F34,…,Fn与时钟信号CEN同步地根据模式选择信号S来实现功能模式或扫描模式。就是说,在功能模式期间Din是输入,在扫描模式期间Sin是输入。
根据图5所示的本发明的一个优先的实施例的触发器控制器包括一个触发器控制器50和多个触发器F51,F52,F53,…,Fn。这里,“sms”代表扫描模式置位信号,“reset”代表同步复位信号,“fmen”代表功能模式的时钟允许信号,CLK代表系统时钟,“smen”代表扫描模式的时钟允许信号,并且“smreset”代表扫描模式的复位信号。而且,S代表模式选择信号,CK代表来自触发器控制器50的时钟信号输出,“rst”代表复位信号。
图6是用于说明图5所示的触发器控制装置50的一个详细的电路图。
触发器控制器50包括反相器I61,I62,…,I67,逻辑门G1与G2,以及传输门T61,T62,…,T66。
具体地,门G1对被反相器I61反相的sms信号和被反相器I62反相的复位信号进行与非操作(NAND-operates)。这里,反相器I63对与非门G1的输出信号进行反相并且将反相了的信号通过模式端作为模式选择信号来输出。
传输门T61、T62,…,T66根据两个传输控制信号(正输入与负输入)实现信号传输。也就是,当正输入是高电平并且负输入是低电平时(或者反之亦然),信号传输被实现。传输门T61通过接收在它的负输入的sms信号和在它的正输入的由反相器I61对sms信号完成了反相的信号来传输fmen信号。也就是,当sms信号是低电平时,fmen信号被传输。传输门T62通过接收在它的正输入的sms信号和在它的负输入的由反相器I61对sms信号完成了反相的信号来传输smen信号。也就是,fmen或smen信号根据sms信号来被选择,然后输入到锁存器60。这里,正如图1所示的电路的情况,锁存器60包括两个传输门T63与T64,以及两个反相器I65和I66,并且与CLK同步。被锁存器60锁存的信号与CLK通过G2进行了与操作,然后被提供用作触发器F51,F52,F53,…,Fn的时钟信号CK。并且,传输门T65通过接收在它的负输入的sms信号和在它的正输入的由反相器I61对sms信号完成了反相的信号来传输由反相器I62对复位信号(reset signal)完成了反相的信号。传输门T66通过接收在它的正输入的sms信号和在它的负输入端的由反相器I61对sms信号完成了反相的信号来传输smreset信号。这里,反相器I67将传输门T65和T66的输出信号反相并且将该被反相的信号作为触发器F51,F52,F53,…,Fn的复位信号rst来进行输出。这里,fmen信号,smen信号和smreset信号处于有效低电平,sms和re-set信号处于有效高电平。
图7是用于说明图5所示的多个触发器中的一个的一个详细的电路图,这个电路包括反相器I71,I72,I73,I74,I75和I76,一个或非门(NOR gate)G3与传输门T71,T72,T73,T74,T75与T76。门G3对Sin信号与rst信号进行或非操作,除了这一不同之外,这一结构与图1的结构相同。
参看图5至图7,全体操作被描述。
首先,在功能模式的操作中,当sms与reset信号处于低电平时,模式选择信号S处于高电平。这里fmen信号与功能模式的操作无关。
当fmen信号处于高电平时,CK信号被禁止。于是,触发器F51,F52,F53,…,Fn不能锁存Din信号。
另一方面,当fmen信号处于低电平时,从CLK信号缓冲的信号(the signal buffered from CLK signal)变成CK信号。于是Din信号被锁存在多个触发器F51,F52,F53,…,Fn之中。这里,当施加高电平的reset信号与低电平的fmen信号时,rst信号处于高电平。当rst信号处于高电平时,sin信号被禁止。
其次,在扫描模式的操作中,当sms信号与smreset信号都处于高电平时并且reset信号与smen信号都处于低电平时,扫描模式被操作。当sms处于高电平时,模式选择信号S处于低电平,传输门T62使smen信号通过。随后,由反相器65对smen信号完成了反相的信号和CLK信号被与门G2进行与操作,然后作为CK信号来进行输出。当sms信号处于高电平时,模式选择信号S处于低电平。相应地,扫描模式被操作并且Sin信号被允许。这里,当smreset信号处于低电平时,rst信号经过传输门T66变成高电平。于是,门G3的输出无论Sin信号处于什么状态都处于低电平。作为结果,扫描模式操作被复位。
图8是用于说明根据本发明的另一个优先的实施例的具有同步复位功能的触发器控制器的图。同步复位信号被施加到图5的触发器控制器50的复位端。并且,被反相的同步复位信号被施加到fmen信号端。
图9显示了用于说明图8所示的触发器控制器的操作的操作波形图。
图10显示了当在图5所示的fmen信号中发生误操作(glitch)时的操作波形图。这里,即使在fmen信号包括有误操作时,通过包括传输门T63与T64,反相器I64与I65以及逻辑门G2的锁存器能够避免错误功能(malfunction)。
如上所述,根据本发明,一个用于控制时钟信号和与测试有关的控制信号的电路被加到触发器的前端,从而以相对来说较少的测试矢量增加故障覆盖率,并且容易产生测试矢量。并且,通过禁止施加给触发器的时钟信号的不必要的部分减小了功能损耗。

Claims (3)

1.一个触发器控制器,包括:
用于产生第二时钟信号的时钟控制器,该第二时钟信号的产生是通过对时钟允许信号进行响应来允许或禁止第一时钟信号;
在与第二时钟信号同步时,对模式选择信号进行响应来选择性地锁存功能模式信号或扫描测试模式信号的多个触发器。
2.如权利要求1所述的触发器控制器,特征在于所说的时钟控制器包括:
对扫描测试模式置位信号进行反相的第一反相器;
对复位信号(reset signal)进行反相的第二反相器;
对第一和第二反相器的输出进行与非操作(NAND-operat-ing)的第一逻辑门;
通过对第一个逻辑门的输出进行反相来输出模式选择信号的第三反相器;
当扫描测试模式置位信号处于第一逻辑态时传输功能模式时钟允许信号的第一传输门;
当扫描测试模式置位信号处于第二逻辑态时传输扫描模式时钟允许信号的第二传输门;
当第一时钟信号处于第三逻辑态时传输第一与第二传输门的输出的第三传输门;
用于对第三传输门的输出进行反相的第四反相器;
用于对第四反相器的输出进行反相的第五反相器;
当时钟信号处于第四逻辑态时将第五反相器的输出传输到第四反相器的第四传输门;
通过对第一时钟信号和第四反相器的输出进行与操作来输出第二时钟信号的第二逻辑门;
当扫描测试模式置位信号处于第五逻辑态时传输第二反相器的输出信号的第五传输门;
当扫描测试模式置位信号处于第六逻辑态时传输扫描测试模式复位信号的第六传输门;
以及将第五与第六传输门的输出进行反相的第六反相器。
3.如权利要求2所述的触发器控制器,特征在于所说的触发器包括:
用于将模式选择信号进行反相的第七反相器;
当第七反相器的输出处于第七逻辑态时传输功能模式信号的第七传输门;
用于对扫描测试模式信号和扫描测试模式复位信号进行非操作的第三逻辑门;
当模式选择信号处于第八逻辑态时传输第三逻辑门的输出的第八传输门;
当第二时钟信号处于第九逻辑态时传输第七与第八传输门的输出的第九传输门;
用于对第九传输门的输出进行反相的第八反相器;
用于对第八反相器的输出进行反相的第九反相器;
当第二时钟信号处于第十逻辑态时传输第九反相器的输出信号的第十传输门;
当第二时钟信号处于第九逻辑态时传输第八反相器的输出的第十一传输门;
用于对第十一传输门的输出进行反相的第十反相器。
当第二时钟信号处于第十逻辑态时对第十反相器的输出进行反相的第十一反相器;以及
当第二时钟信号处于第九逻辑态时将第十一反相器的输出传输到第十反相器的第十二传输门。
CN96100473A 1995-01-27 1996-01-26 触发器装置 Expired - Fee Related CN1112767C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1573/95 1995-01-27
KR1019950001573A KR0147619B1 (ko) 1995-01-27 1995-01-27 플립플롭 제어기
KR1573/1995 1995-01-27

Publications (2)

Publication Number Publication Date
CN1137199A true CN1137199A (zh) 1996-12-04
CN1112767C CN1112767C (zh) 2003-06-25

Family

ID=19407408

Family Applications (1)

Application Number Title Priority Date Filing Date
CN96100473A Expired - Fee Related CN1112767C (zh) 1995-01-27 1996-01-26 触发器装置

Country Status (7)

Country Link
US (1) US5721740A (zh)
JP (1) JP3878236B2 (zh)
KR (1) KR0147619B1 (zh)
CN (1) CN1112767C (zh)
DE (1) DE19602517B4 (zh)
SG (1) SG40814A1 (zh)
TW (1) TW288231B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100426687C (zh) * 2002-05-30 2008-10-15 联发科技股份有限公司 改进的时钟启用系统
CN101277109B (zh) * 2007-03-30 2013-01-23 阿尔特拉公司 可配置的时间借用触发器
CN101409542B (zh) * 2007-10-11 2016-01-20 瑞昱半导体股份有限公司 数字电路的重置方法及相关信号产生装置
CN107276563A (zh) * 2016-01-28 2017-10-20 三星电子株式会社 包括保持复位双稳态触发器的半导体装置

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11145789A (ja) * 1997-07-29 1999-05-28 Sharp Corp 低消費電力化レジスタ回路
US6381719B1 (en) * 1998-04-23 2002-04-30 Lsi Logic Corporation System and method for reducing clock skew sensitivity of a shift register
KR19990073108A (ko) * 1999-05-14 1999-10-05 김명석 유휴전화회선을이용한소규모네트워크구성방법및장치
US6275081B1 (en) 1999-06-02 2001-08-14 Adaptec, Inc. Gated clock flip-flops
KR20010018110A (ko) * 1999-08-17 2001-03-05 강미애 랜 데이터 전송장치
JP4883850B2 (ja) 2001-06-29 2012-02-22 ルネサスエレクトロニクス株式会社 半導体装置
US7185249B2 (en) * 2002-04-30 2007-02-27 Freescale Semiconductor, Inc. Method and apparatus for secure scan testing
KR100583152B1 (ko) * 2004-02-19 2006-05-23 주식회사 하이닉스반도체 데이터 억세스타임 측정모드를 갖는 반도체 메모리 소자
US7328385B2 (en) * 2004-08-05 2008-02-05 Seagate Technology Llc Method and apparatus for measuring digital timing paths by setting a scan mode of sequential storage elements
US7596732B2 (en) 2005-06-30 2009-09-29 Texas Instruments Incorporated Digital storage element architecture comprising dual scan clocks and gated scan output
US8692592B2 (en) * 2005-06-30 2014-04-08 Texas Instruments Incorporated Digital storage element architecture comprising integrated 2-to-1 multiplexer functionality
US7650549B2 (en) * 2005-07-01 2010-01-19 Texas Instruments Incorporated Digital design component with scan clock generation
US20090195285A1 (en) * 2006-06-05 2009-08-06 Panasonic Corporation Semiconductor integrated circuit
WO2008113105A1 (en) * 2007-03-16 2008-09-25 G2 Microsystems Pty Ltd Low power flip-flop circuit and operation
JP5274292B2 (ja) * 2009-02-17 2013-08-28 キヤノン株式会社 特定用途向け集積回路
KR102011139B1 (ko) * 2012-10-08 2019-08-14 삼성전자주식회사 시스템 온 칩의 초기화 장치
US10541680B2 (en) * 2014-12-30 2020-01-21 Texas Instruments Incorporated Low area enable flip-flop
KR102563928B1 (ko) * 2017-08-18 2023-08-07 삼성전자 주식회사 표준 셀 라이브러리, 동기 회로를 포함하는 집적 회로 및 집적 회로를 설계하기 위한 컴퓨팅 시스템

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4316150A (en) * 1980-01-09 1982-02-16 Tektronix, Inc. Phase locked loop including phase detector system controlled by enable pulses
US4463440A (en) * 1980-04-15 1984-07-31 Sharp Kabushiki Kaisha System clock generator in integrated circuit
US4851711A (en) * 1988-02-02 1989-07-25 International Business Machines Corporation Asymmetrical clock chopper delay circuit
US5117443A (en) * 1989-11-13 1992-05-26 Lucid, Inc. (Formerly Portable Computer) Method and apparatus for operating at fractional speeds in synchronous systems
US5235600A (en) * 1991-03-21 1993-08-10 Amdahl Corporation Scannable system with addressable clock suppress elements
JP3742839B2 (ja) * 1992-07-21 2006-02-08 レジェリティ・インコーポレイテッド シャットダウンモードにおかれることが可能なクロック発生器
JP2550837B2 (ja) * 1992-09-25 1996-11-06 日本電気株式会社 スキャンパスのテスト制御回路

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100426687C (zh) * 2002-05-30 2008-10-15 联发科技股份有限公司 改进的时钟启用系统
CN101277109B (zh) * 2007-03-30 2013-01-23 阿尔特拉公司 可配置的时间借用触发器
CN103095285A (zh) * 2007-03-30 2013-05-08 阿尔特拉公司 可配置的时间借用触发器
CN103095285B (zh) * 2007-03-30 2015-11-25 阿尔特拉公司 可配置的时间借用触发器
CN101409542B (zh) * 2007-10-11 2016-01-20 瑞昱半导体股份有限公司 数字电路的重置方法及相关信号产生装置
CN107276563A (zh) * 2016-01-28 2017-10-20 三星电子株式会社 包括保持复位双稳态触发器的半导体装置
CN107276563B (zh) * 2016-01-28 2022-08-02 三星电子株式会社 包括保持复位双稳态触发器的半导体装置

Also Published As

Publication number Publication date
KR0147619B1 (ko) 1998-12-01
CN1112767C (zh) 2003-06-25
SG40814A1 (en) 1997-06-14
DE19602517A1 (de) 1996-08-01
KR960029808A (ko) 1996-08-17
US5721740A (en) 1998-02-24
JP3878236B2 (ja) 2007-02-07
JPH08262115A (ja) 1996-10-11
TW288231B (zh) 1996-10-11
DE19602517B4 (de) 2005-03-24

Similar Documents

Publication Publication Date Title
CN1112767C (zh) 触发器装置
US6021513A (en) Testable programmable gate array and associated LSSD/deterministic test methodology
US5473617A (en) High impedance technique for testing interconnections in digital systems
US4783785A (en) Method and apparatus for diagnosis of logical circuits
US7701249B2 (en) IC output signal path with switch, bus holder, and buffer
CN102062836B (zh) 扫描寄存器、扫描链、芯片及其测试方法
US10845412B2 (en) IC receiving TDI addresses in R/TI after update-IR while TDI in second logic state
US6629276B1 (en) Method and apparatus for a scannable hybrid flip flop
CN1729401A (zh) 通过单个测试访问端口连接多个测试访问端口控制器
US5809039A (en) Semiconductor integrated circuit device with diagnosis function
CN1519573A (zh) 包括扫描测试电路的集成电路器件及其测试方法
US6815977B2 (en) Scan cell systems and methods
CN110007217A (zh) 一种低功耗边界扫描测试方法
US5715255A (en) Low overhead memory designs for IC terminals
US5471152A (en) Storage element for delay testing
US7089471B2 (en) Scan testing mode control of gated clock signals for flip-flops
JP3515571B2 (ja) 集積回路の事象認定試験アーキテクチャ
US20080144400A1 (en) Scanning Latches Using Selecting Array
US6181161B1 (en) Apparatus and method for verifying macrocell base field programmable logic devices
US20060126606A1 (en) Crosspoint switch with low reconfiguration latency
US20050044461A1 (en) Semiconductor device test circuit and semiconductor device
JPS6375680A (ja) アナログ・デイジタル混載lsi内部試験回路
JPS6362261A (ja) 半導体集積回路
JP2001194423A (ja) 半導体集積回路の試験回路
WO2000072444A9 (en) Scannable flip flop circuit and method of operating an integrated circuit

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20030625

Termination date: 20140126