CN1098556A - 半导体及其制造方法 - Google Patents

半导体及其制造方法 Download PDF

Info

Publication number
CN1098556A
CN1098556A CN94103243A CN94103243A CN1098556A CN 1098556 A CN1098556 A CN 1098556A CN 94103243 A CN94103243 A CN 94103243A CN 94103243 A CN94103243 A CN 94103243A CN 1098556 A CN1098556 A CN 1098556A
Authority
CN
China
Prior art keywords
temperature
nickel
substrate
silicon fiml
amorphous silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN94103243A
Other languages
English (en)
Other versions
CN1058583C (zh
Inventor
张宏勇
鱼地秀贵
高山彻
山崎舜平
竹村保彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN1098556A publication Critical patent/CN1098556A/zh
Application granted granted Critical
Publication of CN1058583C publication Critical patent/CN1058583C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02491Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02502Layer structure consisting of two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02672Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using crystallisation enhancing elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • H01L27/1274Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor
    • H01L27/1281Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor by using structural features to control crystal growth, e.g. placement of grain filters
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate

Abstract

一种制造半导体的方法,将实质上为非晶态的硅 膜在不高于非晶硅结晶温度的温度下退火而使其结 晶化,方法包括:有选择地在非晶硅膜表面之上或之 下形成被覆层、颗粒、簇形物、或其类似物,它们含有 以纯金属或其化合物形式的镍、铁、钴、铂或钯,化合 物可以是硅化物、盐、及类似物,被覆层等做成岛状部 分、线状部分、带状、或点状;然后将做成的结构在低 于非晶硅结晶温度20℃到150℃的温度下退火。

Description

本发明涉及应用于薄膜器件,像薄膜绝缘栅场效应晶体三级管(以下简称之为“薄膜晶体管”或“TFT”)的结晶体半导体,以及涉及其制造方法。
用于像TFT这种薄膜器件中的结晶硅半导体的薄膜在此之前所知是用下法制造的:使用了电炉之类设备其温度保持不低于600℃,经12小时或更长,使通过等离子体CVD(化学汽相沉积)或热CVD形成非晶硅薄膜结晶化。有足够高品质(例如:优异的场效应迁移率及高可靠性)的结晶体硅半导体的薄膜只有在使非晶薄膜经受更长的时间的热处理后才能用。
然而,这些为获得结晶体硅半导体薄膜的现有技术中的方法有许多问题尚待解决。问题之一是低生产率,使生产成本增加。例如,结晶化的步骤需要24小时时间,设一个基片的处理时间最好在2分钟以内,那么一次必需处理720个基片。然而,在普通的管式炉中一次可以处理的最大基片数限于50;在实际处理时只用一台设备(反应管)则可知一个基片要用30分钟来完成处理。换言之,每块基片要在2分钟内完成反应必需至少15个反应管。这表明,这种方法提高了投资费用,因此增加了生产成本,因为投资折旧费太大。
热处理温度是另一个要考虑的问题。一般说,一个TFT的制造使用了包括纯氧化硅的石英玻璃基片或无碱硼硅酸盐玻璃基片,如由Corning  Incorporated公司制造的#7059玻璃基片(以下简称之为“Corning#7059基片”)。前一种基片有诸如优异的抗热性,可以用使用于半导体集成电路的惯用的晶片工艺同样方式来处理。然而,它很贵,并且其价格随基片面积增加而成指数地增加。所以,现在石英玻璃基片的应用限于面积相当小的TFT集成电路。
另一方面,无碱硼硅酸盐玻璃基片比起那些由石英玻璃制造的基片来是不贵的,但是,它们在其抗热性方面有缺点。由于无碱玻璃基片在从550到650℃范围的温度下受到变形,特别是,由于容易买到的材料在低达600℃甚至更低的温度就受到变形,任何在600℃以下的热处理将在基片上造成不可逆的收缩和卷曲。这些变形特别明显地出现在其对角线长度超过10寸的基片上。因此,相信必需在温度为550℃或更低的情形下完成硅半导体膜的热处理,并且时间在4小时以内,以降低总的生产成本。
鉴于上面所述的情形,本发明的一个目的是提供一种半导体,其中上面的问题已克服,并提供制造它的方法。本发明的另一目的是提供一种使用此种半导体制造半导体器件的方法。
本发明提供一种方法,其特征是它包括:在一非晶硅膜上或者在一其上有不规则结晶体状态可以被认为是非晶的(例如,一种包括结晶部分和非晶部分混合的状态)膜上形成一层膜、粒子、簇、线,及类似物,它们含有镍、铁、钴、铂及钯中至少一种;将此形成的构造在温度低于通常非晶硅的结晶温度,最好低20到150℃,或者在温度不高于通常用作基片的玻璃材料的玻璃化温度下,例如在580℃或以下,进行退火。
图1(A)到1(C)图示了从上面看的按本发明的一个实施例(例1)方法得到的各依次步骤中的结构的示意图。
图2(A-1),2(A-2),2(B),2(C)及2(D)图示了按本发明另一个实施例的另一方法得到的各依次步骤中断面结构(选定结晶的步骤)示意图;
图3表示了硅的结晶速率和结晶温度之间的关系;
图4表示由一个例子获得的结晶硅膜的拉曼散射波谱的结果;
图5表示由一个例子获得的结晶硅膜的X射线衍射图形;
图6(A-1),6(A-2),6(B),6(C)和6(D)图示了按本发明又一个实施例制造半导体方法中获得的各依次步骤中断面结构示意图;
图7(A)到7(D)图示了按本发明再一个实施例制造半导体方法中获得的各依次步骤中断面的结构示意图;以及
图8表示结晶硅膜中镍的浓度分布。
通常建议的用于硅膜结晶化的方法包括从籽晶的固相外延生长,使用结晶体岛状膜为核,如在JP-A-1-214110(术语“JP-A”在此处用来表示“未审查的公开的日本专利申请”)中所公布的。然而,发现在600℃或更低的温度下实质上没有晶体生长发生。在硅基材料的情况下一般其结晶化是这样进行的:处在非晶态的分子链一旦被切断,且其后建立的状态中不发生切断的分子之间的再结合,这些分子按结晶分子被组合成晶体的一部分。将一经切断的分子链保持住所必需的能量是相当大的,因此,保持切断的分子互相分开的这一步骤是在结晶的反应中的势垒。此能量相当于在约1000℃温度下加热几分钟时间,或者在约600℃温度下加热几十小时。由于加热时间成指数倍地取决于加热温度,发现实际上在温度不高于600℃,特别是例如在500℃时实现结晶化是行不通的。固相外延结晶化的现有技术概念也不能提供此问题的解决办法。
在发明人等撇开通常已建立的固相结晶理论考察了用催化反应来降低前述方法的势垒能量的方法。本发明人等注意到镍(Ni),铁(Fe),钴(Co),铂(Pt)和钯(Pd)与硅有好的亲和力,所以它们可以容易地形成硅化物。对镍的情形,与硅容易形成硅化镍(NiSix;其中0.4≤X≤2.5)。并且,本发明人等注意到硅化镍的晶格常数接近于硅的晶格常数。因此,三元结晶体硅-镍硅化物非晶硅系统的自由能量被用来证明非晶硅容易和硅化镍起反应于相的边缘而按下式表示的化学反应形成硅化镍及结晶硅:
其中,硅A和硅B指示硅原子的位置。此反应的势垒是足够地低,且反应发生在低的温度下。
上面反应式表示的反应提示了镍原子将非晶硅变成结晶硅。实际上,反应在温度不高于580℃下开始,甚至可以看到反应发生于低到450℃的温度。更典型地,结晶可以实现于低于通常非晶硅结晶温度20到150℃的温度下,当然,随结晶温度升高结晶加快。这在图3上可清楚地看到(此图在下面的例子中要用到)。类似的结果在使用铂(Pt),铁(Fe),钴(Co)或钯(Pd)的情况中也能得到。
按本发明的方法,其特征是晶体的生长是各向同性地在圆形范围内进行。这是因为镍原子及其类似物各向同性地移动,因此与通常的晶体沿晶体的晶格平面生长这种结晶不同。
在按本发明的方法中,镍、铁、钴、铂或钯,在粒层、粒子、簇等的形式里或是纯金属或是硅化物,呈现为岛状、带状、线状、或点状形态,它可成为扩展及延伸结晶区到其周围部分去的出发点。
如上所述,在上法中获得的结晶硅和由固相外延生长获得的通常的方法不同,它还有优异的结构连续性及类似于单晶硅的晶体。所以由本法获得的结晶硅适用于制造如TFT这种半导体的器件。再有,发现了当含有镍、铁、钴、铂或钯的材料均匀分布在基片上时难以得到改进了结晶度的薄膜,因为有不可胜数的结晶例证。在用了含镍、铁、钴、铂或钯的材料于复盖全部表面的均匀薄膜中的情况和用于呈岛状、带状、线或点状式样的材料的情况之间的差异藉助于拉曼散射波谱和X射线衍射分析可清楚地观察到。用这些分析方法证实了,优良的结晶硅用按本发明的方法得到了。
在按本发明的结晶方法中被用作为原材料的非晶硅膜最好含氢浓度尽可能低。然而,由于氢从晶硅膜中随逐渐结晶而释放的,在原来的非晶硅膜的氢浓度和由结晶取得的硅膜的氢浓度之间看不到清晰的关连关系。由按本发明方法获得的结晶硅膜的氢浓度典型地处于1×1015个原子·cm-3到原子数的5%之间。依旧有优良结晶度的硅膜可以用降低碳、氧、氮的浓度来得到,每一种浓度到1×1019cm-3或更低。所以,含镍、铁、钴或铂的材料必需考虑到这点进行选择。
上面的催化元素,即,镍、铁、钴、铂及钯本身并不有利于硅。因此,它们的浓度最好尽可能抑制到最低。用SIMS(二次离子质谱议)观察,本发明人等通过深入研究发现,对用于像TFT等半导体器件中的半导体的这些元素的浓度,从保证好的特性和可靠性观点,最好控制在1×1015原子cm-3到1%原子范围内,更适宜在1×1015到1×1019原子cm-3范围内。假如催化金属元素的浓度落在此范围以下,就可没有足够的结晶出现。另一方面,浓度超出此范围,就将得到特性和可靠性都差的半导体。
因为硅化镍在结晶的末端,作为由上面公式表示的反应的结果,容易在氢氟酸或盐酸中溶解,镍可使用这些酸来处理而从基片中减少。
含镍、铁、钴、铂或钯的物质的膜可以用任何一种物理的或化学的方法来构成。例如,使用真空设备的方法如汽相沉积、溅射、及CVD(化学汽相沉积)是可用的,或者在大气中完成的方法、如旋涂、浸渍(被覆)、手术刀法、丝网印刷、及喷雾热解等也是可用的。
特别是,旋涂或浸渍法可提供具有均匀厚度的膜,另外,所得到的膜的浓度可以精确地控制。被用于以上方法的溶液包括那些将镍、铁、钴、铂或钯的乙酸盐、硝酸盐、或羧酸盐溶解或分散到诸如水、酒精(或较低度的或较高度数的)的溶剂和可以是饱和烃的或不饱和烃的石油溶剂中去而制成的。
不过,用了这样的物质后,恐怕含于盐中的氧和碳可能扩散进硅膜,它们可能损害硅膜的半导体特性。因此,本发明人等使用热解重量分析法及差值热分析法(differential  thermal  analysis)进行研究后得知,靠适当选择材料,这样的添加物质在450℃或以下的温度下分解产生氧化物或元素,并且没有这种物质进一步的扩散,这种扩散可能使它们移入硅膜。特别是,当在诸如氮气等还原气氛中分解时,乙酸盐及硝酸盐这类盐类被发现产生元素金属于400℃或以下的温度之下。发现这些盐类首先通过在氧气气氛中分解产生氧化物,但最后它们经清除吸附的氧气而在高的温度下产生元素金属。
使用了由按照本发明的方法制造的结晶硅膜于像TFT这类半导体器件中,可以看到结晶部分的末端不利于制作半导体器件。已如前述,这是因为从多个出发点开始的结晶前部在结晶部分的末端互相遇到一起,从而在结晶性方面出现大的晶粒边界或不连续性进一步,这部分的镍浓度是高的。所以,按本发明制造半导体器件的方法要求事先优化半导体器件的图形以及含镍的被覆层的图形,即结晶的出发点。
本发明参阅下面的非限制性的例子来详细说明。应该明白,本发明不能解释为受其限制。
例1
本例涉及一种方法,用于形成多个岛状镍膜于Corning  #  7059玻璃基片上,然后用这些膜为出发点使非晶硅膜结晶化。本例也提供一种方法,用于使用由上面得到的结晶硅膜来制造TFT。岛状镍膜可以用二种方法中任何一个来形成;即将岛状镍膜形成于非晶硅膜上,或非晶硅膜之上。图2(A-1)表示提供岛状镍膜于非晶硅膜之下的方法。图2(A-2)表示将它们形成于非晶硅膜之上的方法。不过在后一方法中应考虑到在非晶硅上形成的镍膜的腐蚀是作为一个在构成多层镍膜之后的步骤而实行的。跟着来的是,尽管以很小的量,不希望的硅化镍通过镍和非晶硅之间的反应而造成。因为如果硅化镍保留在硅膜上,满足本发明目的的有足够高结晶度的硅膜就不能得到,残留的硅化镍必需用盐酸、氢氟酸及类似的东西将它完全除去。从而造成比起原先沉积成的膜来有较薄的非晶硅膜。
无论如何,镍或硅化镍可以用两种通常的已知的方法中任一种来制成图形,即,一种是腐蚀法,包括在形成镍膜后,用光刻法制成上光刻胶图形,再将没有被光刻胶盖住的镍膜部分腐蚀掉;另一种是剥离法,包括在镍膜形成于其上之前,用光刻法制成光刻胶图形,再除去下面的光刻胶以便有选择地形成镍膜。
关于图2(A-1)的前一种方法没有上述问题。按此方法的过程,除了岛状部分以外的镍膜也最好完全消除掉。进而,基片被用氧等离子体或臭氧及其类似物来处理以氧化除了岛状区域以外的区域并抑制残存的镍的影响。
无论如何,两种方法都包括用等离子体CVD在Corning # 7059基片1A上沉积有2000
Figure 941032434_IMG1
厚的氧化硅基膜1B,且非晶硅膜1被进一步沉积在其上,厚度为从200到3000
Figure 941032434_IMG2
,最好厚度在从500到1500
Figure 941032434_IMG3
间。非晶膜用将此膜在从350到450℃的温度下退火0.1到2小时来从非晶膜中除去氢而使它更容易结晶。
在关于图2(A-1)的过程中,形成非晶硅膜1之前,一层镍膜被用溅射法沉积到厚度从50到1000
Figure 941032434_IMG4
,最好从100到500
Figure 941032434_IMG5
。所得到的镍膜刻制成岛状镍区2。从上面看的最终结构示於图1(A)。
岛状镍部分每一个都被做成2×2μm2见方尺寸且取相互之间距离为5到50μm,特别是,例如,为20μm。用硅化镍代替镍也可以得到类似的效果。还有,将基片在温度范围为从100到500℃,最好范围为从180到250℃下加热可以得到好结果。这归结为增加氧化硅膜底部和镍膜之间结合的紧密程度,还归结为通过氧化硅和镍之间的反应而形成硅化镍。用氮化硅、碳化硅、或硅代替氧化硅可得到相似的结果。
所得到的结构被在氮气气氛中温度范围为从450到650℃,特别是,例如,为550℃退火8小时。加热时的中间状态示於图2(B)。从图2(B)可见镍从位于图2(A)端部的岛状镍膜推进到中央部分形成硅化镍3A。并且,也可以看到镍所经过的部分3提供结晶硅。所以,结晶完成于这样一个点上,从两个不同的岛状部分出发的最前沿在这个点处互相相遇,并在中心处留下残留的硅化镍3A,这示於图2(C)。
图4和图5各给出了最后的结晶硅膜的拉曼散射波谱图和X射线衍射图。在图4中标以C-Si记号的曲线对应于标准试样的拉曼波谱,即单晶硅的波谱。曲线(a)和(b)各指出了由按本发明方法得到的硅膜的拉曼波谱,和非结晶区的拉曼波谱。从此结果可清楚看到按本发明的方法提供好的硅晶体。
图1(B)给出到这一步为止所得到的结构从其上面看的图。在图2(C)中硅化镍3A对应于晶粒边界4。再继续退火,镍沿晶粒边界4移动并集结在岛状部分的中间区域5,该岛状镍部分全都由其起始形状变形而成。
结晶硅可由以上描述的各步骤而获得。然而,这不可取,因为结晶硅有从由此形成的硅化镍3A扩散入半导体被覆层的镍。所以,最好使用氢氟酸或盐酸经过这样的腐蚀剂腐蚀,因为这些酸不影响硅膜。由腐蚀后所得到的结构示於图2(D)。在原来晶粒边界的位置上有了一个槽4A。这种方式形成半导体区域(有源层及其类似层)有槽存在于各半导体区域之间是不可取的。TFT布置的一个例子示於图1(C)。另一方面,栅接线7可跨过晶粒边界。
非晶硅膜按以上的方法用2×2μm2镍区作为出发区而进行结晶。研究了结晶速率和退火温度的关系。结晶速率这样计算:测定用于结晶前沿达到高镍区10到50μm距离所必需的退火时间。其结果在图3中作为一个例子给出。供比较其结果的有两种非晶硅膜,一种厚度为500
Figure 941032434_IMG6
,另一种厚度为1500
Figure 941032434_IMG7
。当然,结晶速率在退火温度较高时也较高。结晶速率也和膜厚有关,增加膜厚结晶更容易发生。由于实际的半导体典型地有尺寸为50μm或以下,假如退火在5个小时内完成,结晶速率至少必需20μm/小时。对厚度有1500
Figure 941032434_IMG8
的硅,从图3读得退火温度至少应在550℃或更高。
例2
本例涉及使用了描述于例1中的构成制造结晶硅膜的方法,只是膜的结晶度进一步用激光束在由加热而使膜一开始结晶就受辐照来改进。除了激光辐照步骤以外其他步骤和条件是和例1中描述的是一样的。图6中的符号和数字与在例1中所用的对应的也一样。
参阅图6,按本例制造半导体的方法各步骤在下面描述。步骤(A-1)到(B)和在例1中解释的是一样的。进行了图6(B)的步骤后,晶体可在横向生长,激光束照射它以便进一步改善硅膜的结晶度。这样,一种KrF激光元的激光器被操作将波长248nm及脉宽20nsec的激光束照射于此前做成的结晶硅膜以进一步加速其结晶化。照射激光束输出能量密度为200到400mJ/cm2,在本情况举例为250mJ/cm2。在激光束辐照期间,基片加热保持在150至400℃,更具体的如在200℃温度下照射2次,以增强激光束辐照的效果。
除了上面的KrF激发元激光器外可用的激光器包括那些从XeCl激发元激光器的工作在波长308nm的激光以及从ArF激发元激光器发生的工作在波长193nm的激光。另外,强光也可替代激光进行辐照。特别是,应用RTA(快速热退火)包括红外线辐照也是有效的,因为它可以有选择地在短时间内加热硅膜。
由此,有好的结晶度的硅膜可以用上面说的任何一种方法获得。先前的作为加热退火的结果得到的结晶区域3发现变成有进一步改进了结晶度的硅膜。另一方面,在加热退火时没有结晶的区域(图中未示出)发现由于激光照射的结果产生多晶膜。拉曼散射波谱图展现了硅膜有了改变,但由此得到的多晶膜的结晶度很差。进而,用透射电子显微镜观察展示了在受到激光照射的膜中形成大量细晶体没有被热处理将它结晶。与此相反,相对地大的定向结晶晶粒被发现构成了按本发明获得的经热退火和激光辐照的膜3。
在完成了激光照射后,晶体生长的前端3A被用氢氟酸或盐酸腐蚀。由腐蚀所得的结构示於图6(D)。
因而,TFT由加工成岛状形态的硅膜3制出。在此TFT上观察到明显提高器件特性。特别是,采用在例1中描述的结晶步骤获得的N-沟通TFT得到场效应迁移率从50到90cm2/vs,及阀值电压从3到8V。这些数值和按本例制造的N-沟通TFT所得到的迁移率从150到200cm2/vs及阀值电压为从0.5到1.5V是明显的对比。迁移率相当大地提高,对阀值电压的波动也大大减少。
以前,前面所述的如此高水平的TFT特性必需从由激光结晶从非晶硅膜获得。但是,由先有技术激光结晶获得的硅膜使特性波动。进而,结晶过程要求照射的激光能量密度350mJ/cm2或更高,温度在400℃或更高,因此它不适用於批量生产。与通常方法相反,按本例制造的TFT的方法可在基片温度和能量密度二者都低于相应的通常方法的数值。因此,按本发明的方法适用于批量生产。进而,由本方法获得的器件质量也与用热退火的通常固相生长结晶法获得的器件质量一样均匀。所以,可以稳定地获得均匀质量的TFT。
在本发明中,发现当镍浓度低时结晶发生得不充分。然而,按照本例的方法使用激光照射来补偿不充分的结晶。所以,甚至在镍浓度低时也能满意地获得高质量的TFT。这显示含有低浓度镍的器件可以实现,可以得到有优异电稳定性和可靠性的器件。
例3
本例涉及一种方法,用含有催化元素的溶液被覆非晶硅膜的上表面来将催化元素导入非晶膜,这种催化元素加速了非晶硅膜的结晶。在此例中。镍被用作催化元素。本例除了导入镍的方法外和在例1中描述的完全一样。结晶步骤及随后的各步骤和在例1中描述的一样。相应于图1的从基片上面看到的结构也和例1中的一样。
图7图示了按本发明的顺序步骤制造过程。一层氧化硅膜1B认为基底被覆层沉积在10×10cm2见方的Corning # 7059玻璃基片1A上,而1000 厚度非晶硅膜1被进而用等离子体CVD法沉积在其上。
氧化硅膜13被沉积在那做成的非晶硅膜上达1200
Figure 941032434_IMG10
厚度提供一层掩膜。氧化硅膜13薄到500
Figure 941032434_IMG11
厚度可以被应用而没有任何问题,用了较致密的膜层,此膜可以做得更薄。
做成的氧化硅膜13用普通的光刻制成图形的法按需要制出图形。然后,薄氧化硅膜12在氧气气氛里用紫外(UV)线照射沉积而成。特别是,氧化硅膜13用紫外线照射5分钟制成。氧化硅膜12认为有厚度为从20到50
上述氧化硅膜形成的用下面要施加的溶液来改善图形的可湿性。因此,一份5ml的含100ppm重量镍的乙酸盐溶11被滴在10×10cm2方基片的表面上。旋涂器10在50rpm速率下运转10秒钟使在基片的全部表面上形成均匀的含水的膜层。旋涂器10在基片保持5分钟之后再在2000rpm速率下附加运转60秒进行离心甩干。基片可以在旋涂器上承受速率为从0到150rpm的转动。这一步骤示出於图7(A)。
在除去氧化硅掩膜13之后,所制成的结构在氮气气氛下受到550℃温度热处理4个小时使非晶硅膜1结晶。以这种方式,结晶可以沿横向从镍被导入的区域14扩展到镍未被导入的区域中去。
参阅图7(B),可见结晶是从直接导入镍的区域14开始的,且可见它横向朝中央部分进行的。结晶硅膜3以此方式获得。在区域3A形成氮化硅,在那里晶体的生长前沿互相相遇。
此后,氮化镍的区域3A用氢氟酸或盐酸除去。由腐蚀而得到的结构示於图7(D)。
在此区域中镍的浓度的分布示於图8。在完成了结晶的结晶硅膜中的镍浓度用SIMS(二次离子质谱仪)测出,证实了镍直接导入的区域14的镍浓度有比在图8所示浓度还要高一个数量级或以上的值。
还可以用辐照激光束或等效于它的强光,像前面例2中同样的方式,来进一步改进上面获得的结晶硅膜的结晶度。在例2的情况,膜的形态被激光辐照所损害,因为硅化镍的晶粒,大约从0.1到10μm,从有相当高的镍浓度的镍膜中沉淀出来。然而,由于镍膜的镍浓度可以比在例1及2中所得到的低得多,硅化镍的沉淀以及由此可以不发生表面变粗糙。
示於图8的镍浓度可以用改变所施加的溶液的镍浓度来加以控制。在本发明中,溶液中的镍浓度未加以控制。在本发明中,溶液中的镍浓度被调整到100ppm。不过已证实甚至当浓度已降到10ppm结晶也发生。用了含10ppm浓度的镍的溶液结晶以同样的方式发生。在此情况,示於图8的镍浓度可进一步降低一个数等级。可是,使用含镍浓度过低的溶液缩短了沿横向由箭头指出的方向晶体生长的距离,因此是不希望的。
乙酸盐溶液在本例中被用作含催化元素的溶液。但是,其他可用的溶液包括选自各种各样的含水溶液,以及含有机溶剂的溶液。催化元素不是必需作为一个化合物被包括,它可以简单地被分散在溶液中。
用于催化元素的溶剂可选自由极化的溶剂,即,水、酒精、酸、及氨水组成的组中。
当镍被用作催化元素时,镍以镍的化合物形式混入极化的溶剂内。镍的化合物分别选自由以下组成的组:溴化镍,乙酸镍,乙二酸镍,碳酸镍,氯化镍,碘化镍,硝酸镍,硫酸镍,甲酸镍,乙酰丙酮化镍,4-环乙基丁酸镍,氧化镍,和氢氧化镍。
溶剂可选自由下列组成非极化溶剂的组:苯,甲苯,二甲苯,四氯化碳,氯仿,和乙醚。
在此情况中,镍以镍的化合物形式包含于溶液中,此化合物选自由乙酰丙酮化镍,及2-乙基己烷化镍组成的组。
也可以在含催化元素的溶液中添加表面活化剂。表面活化剂溶液增加溶液对氧化硅膜表面的粘附程度,并控制吸附性。表面活化剂可以事先加于要被覆的表面上。假如元素镍被用作催化元素,则必需事先溶液於酸中以得到其溶液。
代替使用含完全溶解到溶液中的镍的溶液,一种乳剂,即,一种包括分散介质的材料,其中均匀分散金属镍粉末或镍的化合物,也是可以用的。
用镍以外材料作为催化元素的情况也可以。
含非极化溶剂的溶液,即,2-乙基己烷化镍的甲苯溶液可直接被用于非晶硅膜的表面上。在此情况中,可以用一种作为粘接剂的材料通常用来构成保护层。但,过量应用粘接剂反而干扰催化元素传递进入非晶硅。
催化元素掺入溶液数量尽管跟溶液类型有关,以镍来说近似地有按重量为从1到200ppm,最佳为按重量从1到50ppm。此添加物的范围的决定要考虑结晶膜的镍浓度及耐氢氟酸的情况。
如前述,本发明在可使非晶硅在甚至较低温度在较短时间内结晶方面创立新纪元。并且,按照本发明的方法适用于批量生产,还有,它可以用最普通的仪器、设备、和方法来实现。因此它是用于电子工业有希望的和有利的方法。
特别是,例如,通常的固相生长法要求退火步骤至少24小时。考虑到每基片处理时间最好是2分钟,对于使处理过程实际上行得通必需要15个退火炉。可是本发明可使过程在8小时内完成,并且在最佳条件下过程甚至可以缩短到仅仅4小时或更少。这显示了过程可以在上面计算的炉子数减少到只要6个或更少条件下完成。这使生产率提高,削减设备投资,因而降低基片生产费用。所以,可以生产经济的TFT,这也许可称之为新要求。结果,本发明对工业是极为有益。
在本发明参阅专门的实施例作详细描述时很清楚,对本领域的技术人员来说对之可作出各种变更及修改而不会偏离其精神和范围。

Claims (20)

1、一种制造半导体的方法,它包括:
在基片上有选择地形成一种物质,至少包括镍、铁、钴、铂及钯之一;
在所述的物质上面形成一层实质上为非晶态的硅膜;及
退火所述硅膜。
2、根据权利要求1的方法,其特征是其中基片在所述退火步骤之后,用氢氟酸或盐酸进行处理。
3、根据权利要求1的方法,其特征是还进一步包括在所述退火步骤之后,将激光束或等效于激光束的光辐照所述硅膜的步骤。
4、根据权利要求1的方法,其特征是其中所述退火步骤在低于常用的非晶硅结晶温度20到150℃的温度下实现。
5、根据权利要求1的方法,其特征是其中所述退火步骤实行于450到650℃温度下。
6、根据权利要求1的方法,其特征是其中所述物质形成于氧化硅膜上,而该氧化硅膜形成于所述基片上,所述基片则加热至100到500℃温度下加热。
7、根据权利要求3的方法,其特征是其中所述的辐照步骤在所述基片被加热至150到400℃温度下加热情况下实行。
8、根据权利要求1的方法,其特征是其中所述基片包括玻璃,并且所述退火步骤实现于不高于所述基片的玻璃化温度的温度下。
9、一种制造半导体的方法,它包括:
在基片上形成一实质上为非晶态的硅膜;
有选择地在所述硅膜上形成一种物质,该物质包括镍、铁、钴、铂和钯中至少一种;以及
在所述物质形成步骤之后退火所述硅膜。
10、根据权利要求9的方法,其特征是其中基片在所述退火步骤之后用氢氟酸或盐酸处理。
11、根据权利要求9的方法,其特征是还包括在所述退火步骤之后,将激光束或等效于激光束的光辐照到所述硅膜的步骤。
12、根据权利要求9的方法,其特征是其中所述退火步骤在低于常用非晶硅结晶温度20到150℃的温度下实现。
13、根据权利要求9的方法,其特征是其中所述退火步骤实行于450到650℃温度下。
14、根据权利要求11的方法,其特征是其中所述辐照步骤所述基片被加热在150到400℃温度下实行。
15、根据权利要求9的方法,其特征是其中所述物质形成步骤用一种含有选自由镍、铁、钴、铂和钯组成的组中至少一种材料的盐类的溶剂被覆于实质上为非晶态的硅膜上来实行的。
16、根据权利要求15的方法,其特征是其中所述溶剂还进一步含有表面活化剂。
17、一种制造半导体的方法,它包括:
在基片上形成一实质上为非晶态的硅膜;
在所述硅膜上形成包含氧化硅的图形;
通过所述图形用含有选自由镍、铁、钴、铂和钯组成的组中至少一种材料的盐类的溶剂被覆于所述硅膜上;以及
用加热退火所述硅膜。
18、根据权利要求17的方法,其特征是其中所述退火步骤实行于450到650℃温度下。
19、根据权利要求17的方法,其特征是其中所述基片包括玻璃,以及所述退火步骤实现于不高于所述基片的玻璃化温度的温度下。
20、根据权利要求17的方法,其特征是其中所述溶剂还包含有表面活化剂。
CN94103243A 1993-02-15 1994-02-15 半导体的制造方法 Expired - Lifetime CN1058583C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP04853293A JP3562588B2 (ja) 1993-02-15 1993-02-15 半導体装置の製造方法
JP48532/1993 1993-02-15
JP48532/93 1993-02-15

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CNB001009443A Division CN1156917C (zh) 1993-02-15 1994-02-15 半导体器件及其制造方法
CNB001009435A Division CN1150595C (zh) 1993-02-15 2000-01-05 半导体器件的制造方法

Publications (2)

Publication Number Publication Date
CN1098556A true CN1098556A (zh) 1995-02-08
CN1058583C CN1058583C (zh) 2000-11-15

Family

ID=12805981

Family Applications (4)

Application Number Title Priority Date Filing Date
CN94103243A Expired - Lifetime CN1058583C (zh) 1993-02-15 1994-02-15 半导体的制造方法
CNA2004100434859A Pending CN1540721A (zh) 1993-02-15 1994-02-15 半导体器件及其制造方法
CNB001009443A Expired - Lifetime CN1156917C (zh) 1993-02-15 1994-02-15 半导体器件及其制造方法
CNB001009435A Expired - Lifetime CN1150595C (zh) 1993-02-15 2000-01-05 半导体器件的制造方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
CNA2004100434859A Pending CN1540721A (zh) 1993-02-15 1994-02-15 半导体器件及其制造方法
CNB001009443A Expired - Lifetime CN1156917C (zh) 1993-02-15 1994-02-15 半导体器件及其制造方法
CNB001009435A Expired - Lifetime CN1150595C (zh) 1993-02-15 2000-01-05 半导体器件的制造方法

Country Status (4)

Country Link
US (3) US5879977A (zh)
JP (1) JP3562588B2 (zh)
KR (1) KR0169508B1 (zh)
CN (4) CN1058583C (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100423199C (zh) * 2003-10-16 2008-10-01 Jsr株式会社 用于形成硅-钴膜的组合物、硅-钴膜及其形成方法

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3562588B2 (ja) 1993-02-15 2004-09-08 株式会社半導体エネルギー研究所 半導体装置の製造方法
JP3329512B2 (ja) * 1993-03-22 2002-09-30 株式会社半導体エネルギー研究所 半導体回路およびその作製方法
JP3347804B2 (ja) * 1993-03-22 2002-11-20 株式会社半導体エネルギー研究所 半導体回路の作製方法
JP3402380B2 (ja) * 1993-03-22 2003-05-06 株式会社半導体エネルギー研究所 半導体回路およびその作製方法
US6713330B1 (en) 1993-06-22 2004-03-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor
US5488000A (en) 1993-06-22 1996-01-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor using a nickel silicide layer to promote crystallization of the amorphous silicon layer
US6074901A (en) * 1993-12-03 2000-06-13 Semiconductor Energy Laboratory Co., Ltd. Process for crystallizing an amorphous silicon film and apparatus for fabricating the same
KR100319332B1 (ko) * 1993-12-22 2002-04-22 야마자끼 순페이 반도체장치및전자광학장치
JP3192546B2 (ja) * 1994-04-15 2001-07-30 シャープ株式会社 半導体装置およびその製造方法
JP3067949B2 (ja) * 1994-06-15 2000-07-24 シャープ株式会社 電子装置および液晶表示装置
TW280943B (zh) * 1994-07-15 1996-07-11 Sharp Kk
JP3072005B2 (ja) * 1994-08-25 2000-07-31 シャープ株式会社 半導体装置及びその製造方法
US6670640B1 (en) * 1994-09-15 2003-12-30 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device
US5942768A (en) * 1994-10-07 1999-08-24 Semionductor Energy Laboratory Co., Ltd. Semiconductor device having improved crystal orientation
JP3277082B2 (ja) * 1994-11-22 2002-04-22 シャープ株式会社 半導体装置およびその製造方法
JP2900229B2 (ja) * 1994-12-27 1999-06-02 株式会社半導体エネルギー研究所 半導体装置およびその作製方法および電気光学装置
JPH0927452A (ja) * 1995-07-12 1997-01-28 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US5854803A (en) 1995-01-12 1998-12-29 Semiconductor Energy Laboratory Co., Ltd. Laser illumination system
US6331475B1 (en) 1995-01-12 2001-12-18 Semiconductor Energy Laboratory Co., Ltd. Method and manufacturing semiconductor device
TW344901B (en) * 1995-02-15 1998-11-11 Handotai Energy Kenkyusho Kk Active matrix display device
TW345654B (en) 1995-02-15 1998-11-21 Handotai Energy Kenkyusho Kk Active matrix display device
US6011607A (en) * 1995-02-15 2000-01-04 Semiconductor Energy Laboratory Co., Active matrix display with sealing material
US5757456A (en) * 1995-03-10 1998-05-26 Semiconductor Energy Laboratory Co., Ltd. Display device and method of fabricating involving peeling circuits from one substrate and mounting on other
JP3138169B2 (ja) * 1995-03-13 2001-02-26 シャープ株式会社 半導体装置の製造方法
US5834327A (en) * 1995-03-18 1998-11-10 Semiconductor Energy Laboratory Co., Ltd. Method for producing display device
KR100265179B1 (ko) * 1995-03-27 2000-09-15 야마자끼 순페이 반도체장치와 그의 제작방법
TW297138B (zh) * 1995-05-31 1997-02-01 Handotai Energy Kenkyusho Kk
TW383502B (en) 1995-06-01 2000-03-01 Seniconductor Energy Lab Kk Method of manufacturing semiconductor device
US6902616B1 (en) 1995-07-19 2005-06-07 Semiconductor Energy Laboratory Co., Ltd. Method and apparatus for producing semiconductor device
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP3174486B2 (ja) * 1995-09-08 2001-06-11 シャープ株式会社 太陽電池およびその製造方法
TW371796B (en) 1995-09-08 1999-10-11 Semiconductor Energy Lab Co Ltd Method and apparatus for manufacturing a semiconductor device
JPH09146108A (ja) * 1995-11-17 1997-06-06 Semiconductor Energy Lab Co Ltd 液晶表示装置およびその駆動方法
JP3477301B2 (ja) 1995-12-19 2003-12-10 株式会社半導体エネルギー研究所 アクティブマトリクス型液晶表示装置及びその製造方法
JPH09171192A (ja) 1995-12-19 1997-06-30 Semiconductor Energy Lab Co Ltd アクティブマトリクス型液晶表示装置及びその製造方 法
JP3729955B2 (ja) * 1996-01-19 2005-12-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3645379B2 (ja) * 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3645380B2 (ja) * 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法、情報端末、ヘッドマウントディスプレイ、ナビゲーションシステム、携帯電話、ビデオカメラ、投射型表示装置
US6449024B1 (en) 1996-01-26 2002-09-10 Semiconductor Energy Laboratory Co., Inc. Liquid crystal electro-optical device utilizing a polymer with an anisotropic refractive index
US7056381B1 (en) * 1996-01-26 2006-06-06 Semiconductor Energy Laboratory Co., Ltd. Fabrication method of semiconductor device
JP3301054B2 (ja) * 1996-02-13 2002-07-15 株式会社半導体エネルギー研究所 レーザー照射装置及びレーザー照射方法
JP3698809B2 (ja) 1996-03-23 2005-09-21 株式会社半導体エネルギー研究所 液晶装置作製方法
JPH10228248A (ja) 1996-12-09 1998-08-25 Semiconductor Energy Lab Co Ltd アクティブマトリクス表示装置およびその作製方法
JP3917698B2 (ja) 1996-12-12 2007-05-23 株式会社半導体エネルギー研究所 レーザーアニール方法およびレーザーアニール装置
US6140166A (en) * 1996-12-27 2000-10-31 Semicondutor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor and method for manufacturing semiconductor device
JPH10199807A (ja) 1996-12-27 1998-07-31 Semiconductor Energy Lab Co Ltd 結晶性珪素膜の作製方法
JPH10198292A (ja) * 1996-12-30 1998-07-31 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP3976828B2 (ja) 1997-02-17 2007-09-19 株式会社半導体エネルギー研究所 結晶性珪素膜の作製方法
JP3844552B2 (ja) * 1997-02-26 2006-11-15 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3544280B2 (ja) 1997-03-27 2004-07-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4059952B2 (ja) 1997-03-27 2008-03-12 株式会社半導体エネルギー研究所 レーザー光照射方法
JP4086932B2 (ja) 1997-04-17 2008-05-14 株式会社半導体エネルギー研究所 レーザー照射装置及びレーザー処理方法
JP3770999B2 (ja) * 1997-04-21 2006-04-26 株式会社半導体エネルギー研究所 レーザー照射装置及びレーザー照射方法
AUPO638997A0 (en) * 1997-04-23 1997-05-22 Unisearch Limited Metal contact scheme using selective silicon growth
US6465268B2 (en) 1997-05-22 2002-10-15 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing an electro-optical device
US6307214B1 (en) 1997-06-06 2001-10-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor thin film and semiconductor device
US6501094B1 (en) 1997-06-11 2002-12-31 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising a bottom gate type thin film transistor
US6066547A (en) * 1997-06-20 2000-05-23 Sharp Laboratories Of America, Inc. Thin-film transistor polycrystalline film formation by nickel induced, rapid thermal annealing method
US6316357B1 (en) * 1997-10-08 2001-11-13 Industrial Technology Research Institute Method for forming metal silicide by laser irradiation
US6060392A (en) * 1998-02-11 2000-05-09 National Semiconductor Corporation Fabrication of silicides by excimer laser annealing of amorphous silicon
US6246524B1 (en) 1998-07-13 2001-06-12 Semiconductor Energy Laboratory Co., Ltd. Beam homogenizer, laser irradiation apparatus, laser irradiation method, and method of manufacturing semiconductor device
JP4663047B2 (ja) 1998-07-13 2011-03-30 株式会社半導体エネルギー研究所 レーザー照射装置及び半導体装置の作製方法
US7153729B1 (en) 1998-07-15 2006-12-26 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US7294535B1 (en) * 1998-07-15 2007-11-13 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US7282398B2 (en) * 1998-07-17 2007-10-16 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device and method of fabricating the same
US7084016B1 (en) * 1998-07-17 2006-08-01 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
JP2000058839A (ja) 1998-08-05 2000-02-25 Semiconductor Energy Lab Co Ltd 半導体素子からなる半導体回路を備えた半導体装置およびその作製方法
US6559036B1 (en) 1998-08-07 2003-05-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US6294441B1 (en) 1998-08-18 2001-09-25 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP2000174282A (ja) 1998-12-03 2000-06-23 Semiconductor Energy Lab Co Ltd 半導体装置
US6380007B1 (en) 1998-12-28 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
US6393042B1 (en) 1999-03-08 2002-05-21 Semiconductor Energy Laboratory Co., Ltd. Beam homogenizer and laser irradiation apparatus
US6878968B1 (en) 1999-05-10 2005-04-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JP4298131B2 (ja) * 1999-05-14 2009-07-15 株式会社半導体エネルギー研究所 液晶表示装置の作製方法
US6680487B1 (en) 1999-05-14 2004-01-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor comprising a TFT provided on a substrate having an insulating surface and method of fabricating the same
US6777254B1 (en) 1999-07-06 2004-08-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method thereof
TW459275B (en) 1999-07-06 2001-10-11 Semiconductor Energy Lab Semiconductor device and method of fabricating the same
US6440851B1 (en) * 1999-10-12 2002-08-27 International Business Machines Corporation Method and structure for controlling the interface roughness of cobalt disilicide
US7232742B1 (en) 1999-11-26 2007-06-19 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device that includes forming a material with a high tensile stress in contact with a semiconductor film to getter impurities from the semiconductor film
US6844910B2 (en) 1999-12-28 2005-01-18 Semiconductor Energy Laboratory Co., Ltd. Liquid crystal display device and manufacturing method thereof
KR100660814B1 (ko) * 1999-12-31 2006-12-26 엘지.필립스 엘시디 주식회사 박막트랜지스터의 반도체층 형성방법
US7071041B2 (en) * 2000-01-20 2006-07-04 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
KR100450595B1 (ko) 2000-02-09 2004-09-30 히다찌 케이블 리미티드 결정실리콘 반도체장치 및 그 장치의 제조방법
US7098084B2 (en) 2000-03-08 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6916693B2 (en) * 2000-03-08 2005-07-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
GB0006958D0 (en) * 2000-03-23 2000-05-10 Koninkl Philips Electronics Nv Method of manufacturing a transistor
US6770518B2 (en) * 2001-01-29 2004-08-03 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
SG114529A1 (en) * 2001-02-23 2005-09-28 Semiconductor Energy Lab Method of manufacturing a semiconductor device
SG114530A1 (en) 2001-02-28 2005-09-28 Semiconductor Energy Lab Method of manufacturing a semiconductor device
US6830994B2 (en) * 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
JP2003282438A (ja) * 2002-03-27 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及び半導体装置、電気光学装置、電子機器
US7335255B2 (en) * 2002-11-26 2008-02-26 Semiconductor Energy Laboratory, Co., Ltd. Manufacturing method of semiconductor device
KR100534579B1 (ko) * 2003-03-05 2005-12-07 삼성에스디아이 주식회사 다결정 실리콘 박막, 이의 제조 방법 및 이를 이용하여제조된 액티브 채널 방향 의존성이 없는 박막 트랜지스터
US7348222B2 (en) * 2003-06-30 2008-03-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film transistor and method for manufacturing a semiconductor device
US7358165B2 (en) * 2003-07-31 2008-04-15 Semiconductor Energy Laboratory Co., Ltd Semiconductor device and method for manufacturing semiconductor device
US7247527B2 (en) * 2003-07-31 2007-07-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device, and laser irradiation apparatus
US7964925B2 (en) * 2006-10-13 2011-06-21 Hewlett-Packard Development Company, L.P. Photodiode module and apparatus including multiple photodiode modules
JP4094539B2 (ja) * 2003-12-12 2008-06-04 株式会社半導体エネルギー研究所 半導体集積回路の作製方法
JP4339102B2 (ja) * 2003-12-12 2009-10-07 株式会社半導体エネルギー研究所 表示装置の作製方法
US7507617B2 (en) * 2003-12-25 2009-03-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US7276402B2 (en) * 2003-12-25 2007-10-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
CN1691277B (zh) * 2004-03-26 2010-05-26 株式会社半导体能源研究所 用于制造半导体器件的方法
CN100359651C (zh) * 2004-05-17 2008-01-02 统宝光电股份有限公司 应用于高效能薄膜晶体管的多晶硅退火结构及其方法
KR100712101B1 (ko) * 2004-06-30 2007-05-02 삼성에스디아이 주식회사 박막트랜지스터 및 그의 제조 방법
US7291522B2 (en) * 2004-10-28 2007-11-06 Hewlett-Packard Development Company, L.P. Semiconductor devices and methods of making
US7381600B2 (en) * 2004-12-02 2008-06-03 The Hong Kong University Of Science And Technology Method of annealing polycrystalline silicon using solid-state laser and devices built thereon
JP4734944B2 (ja) * 2005-02-02 2011-07-27 セイコーエプソン株式会社 薄膜半導体装置の製造方法
US8088676B2 (en) * 2005-04-28 2012-01-03 The Hong Kong University Of Science And Technology Metal-induced crystallization of amorphous silicon, polycrystalline silicon thin films produced thereby and thin film transistors produced therefrom
US20070117287A1 (en) * 2005-11-23 2007-05-24 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus
US20080095975A1 (en) * 2006-10-23 2008-04-24 Jin Jang Polycrystalline silicon thin film and method for forming the same
JP4799509B2 (ja) * 2007-08-16 2011-10-26 株式会社半導体エネルギー研究所 剥離方法
JP2010182841A (ja) * 2009-02-05 2010-08-19 Sony Corp 半導体薄膜の形成方法および半導体薄膜の検査装置
WO2014141662A1 (en) 2013-03-13 2014-09-18 Okinawa Institute Of Science And Technology School Corporation Metal induced nanocrystallization of amorphous semiconductor quantum dots
KR102098588B1 (ko) * 2013-06-28 2020-04-08 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US9275866B2 (en) 2014-05-15 2016-03-01 International Business Machines Corporation Gas cluster reactor for anisotropic film growth

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2316095A1 (de) * 1973-03-30 1974-10-10 Siemens Ag Verfahren zur herstellung integrierter schaltungen mit komplementaer-kanal-feldeffekttransistoren
CH579827A5 (zh) * 1974-11-04 1976-09-15 Bbc Brown Boveri & Cie
US4215156A (en) * 1977-08-26 1980-07-29 International Business Machines Corporation Method for fabricating tantalum semiconductor contacts
US4231809A (en) * 1979-05-25 1980-11-04 Bell Telephone Laboratories, Incorporated Method of removing impurity metals from semiconductor devices
US4331485A (en) * 1980-03-03 1982-05-25 Arnon Gat Method for heat treating semiconductor material using high intensity CW lamps
US4379020A (en) * 1980-06-16 1983-04-05 Massachusetts Institute Of Technology Polycrystalline semiconductor processing
JPS5734331A (en) * 1980-08-11 1982-02-24 Toshiba Corp Manufacture of semiconductor device
DE3272410D1 (en) 1981-02-16 1986-09-11 Fujitsu Ltd Method of producing mosfet type semiconductor device
AT380974B (de) * 1982-04-06 1986-08-11 Shell Austria Verfahren zum gettern von halbleiterbauelementen
US4481121A (en) * 1982-05-17 1984-11-06 Hughes Tool Company Viscosifier for oil base drilling fluids
DE3688758T2 (de) * 1985-04-08 1994-02-10 Hitachi Ltd Dünnfilmtransistor auf isolierendem Substrat.
JPS63142807A (ja) * 1986-12-05 1988-06-15 Nec Corp 半導体装置の製造方法
US5169680A (en) * 1987-05-07 1992-12-08 Intel Corporation Electroless deposition for IC fabrication
US4758533A (en) * 1987-09-22 1988-07-19 Xmr Inc. Laser planarization of nonrefractory metal during integrated circuit fabrication
JP2638869B2 (ja) * 1988-01-22 1997-08-06 セイコーエプソン株式会社 半導体素子の製造方法
JPH01187875A (ja) * 1988-01-22 1989-07-27 Seiko Epson Corp 半導体素子の製造方法
US5407867A (en) * 1988-05-12 1995-04-18 Mitsubishki Denki Kabushiki Kaisha Method of forming a thin film on surface of semiconductor substrate
JPH02140915A (ja) * 1988-11-22 1990-05-30 Seiko Epson Corp 半導体装置の製造方法
EP0390608B1 (en) 1989-03-31 1999-06-09 Canon Kabushiki Kaisha Method for forming semiconductor thin-film and resulting semiconductor thin-film
JPH02260521A (ja) 1989-03-31 1990-10-23 Canon Inc 多結晶Si半導体薄膜形成物品およびその作製方法
JPH02275641A (ja) * 1989-04-17 1990-11-09 Seiko Epson Corp 半導体装置の製造方法
US5278093A (en) * 1989-09-23 1994-01-11 Canon Kabushiki Kaisha Method for forming semiconductor thin film
JPH03280418A (ja) * 1990-03-28 1991-12-11 Seiko Epson Corp 半導体膜の製造方法
JPH0760807B2 (ja) * 1990-03-29 1995-06-28 株式会社ジーティシー 半導体薄膜の製造方法
JP2575545B2 (ja) * 1990-07-05 1997-01-29 株式会社東芝 半導体装置の製造方法
US5147826A (en) * 1990-08-06 1992-09-15 The Pennsylvania Research Corporation Low temperature crystallization and pattering of amorphous silicon films
JP3333187B2 (ja) 1990-08-18 2002-10-07 セイコーエプソン株式会社 薄膜半導体装置の製造方法
US5112764A (en) * 1990-09-04 1992-05-12 North American Philips Corporation Method for the fabrication of low leakage polysilicon thin film transistors
US5560804A (en) * 1991-03-19 1996-10-01 Tokyo Electron Limited Etching method for silicon containing layer
JPH04348035A (ja) * 1991-05-24 1992-12-03 Nippon Steel Corp 配線形成方法
JPH0567635A (ja) 1991-09-09 1993-03-19 Oki Electric Ind Co Ltd 半導体装置の製造方法
US5244819A (en) * 1991-10-22 1993-09-14 Honeywell Inc. Method to getter contamination in semiconductor devices
JP3280418B2 (ja) 1992-06-25 2002-05-13 松下電工株式会社 ヘアカッター
JP3280420B2 (ja) 1992-07-30 2002-05-13 株式会社紀文フードケミファ 豆乳を含むカルシウム吸収促進組成物
US5272119A (en) * 1992-09-23 1993-12-21 Memc Electronic Materials, Spa Process for contamination removal and minority carrier lifetime improvement in silicon
US5604360A (en) * 1992-12-04 1997-02-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device including a plurality of thin film transistors at least some of which have a crystalline silicon film crystal-grown substantially in parallel to the surface of a substrate for the transistor
TW226478B (en) * 1992-12-04 1994-07-11 Semiconductor Energy Res Co Ltd Semiconductor device and method for manufacturing the same
JPH06296023A (ja) * 1993-02-10 1994-10-21 Semiconductor Energy Lab Co Ltd 薄膜状半導体装置およびその作製方法
KR0171923B1 (ko) * 1993-02-15 1999-02-01 순페이 야마자끼 반도체장치 제작방법
JP3562588B2 (ja) 1993-02-15 2004-09-08 株式会社半導体エネルギー研究所 半導体装置の製造方法
US5275851A (en) * 1993-03-03 1994-01-04 The Penn State Research Foundation Low temperature crystallization and patterning of amorphous silicon films on electrically insulating substrates
CN1095204C (zh) * 1993-03-12 2002-11-27 株式会社半导体能源研究所 半导体器件和晶体管
TW241377B (zh) * 1993-03-12 1995-02-21 Semiconductor Energy Res Co Ltd
US5569936A (en) * 1993-03-12 1996-10-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device employing crystallization catalyst
US5624851A (en) * 1993-03-12 1997-04-29 Semiconductor Energy Laboratory Co., Ltd. Process of fabricating a semiconductor device in which one portion of an amorphous silicon film is thermally crystallized and another portion is laser crystallized
JP3193803B2 (ja) * 1993-03-12 2001-07-30 株式会社半導体エネルギー研究所 半導体素子の作製方法
US5501989A (en) * 1993-03-22 1996-03-26 Semiconductor Energy Laboratory Co., Ltd. Method of making semiconductor device/circuit having at least partially crystallized semiconductor layer
US5481121A (en) * 1993-05-26 1996-01-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having improved crystal orientation
US5488000A (en) * 1993-06-22 1996-01-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor using a nickel silicide layer to promote crystallization of the amorphous silicon layer
TW357415B (en) * 1993-07-27 1999-05-01 Semiconductor Engrgy Lab Semiconductor device and process for fabricating the same
US5663077A (en) * 1993-07-27 1997-09-02 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor in which the gate insulator comprises two oxide films
US5492843A (en) * 1993-07-31 1996-02-20 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device and method of processing substrate
JP2975973B2 (ja) * 1993-08-10 1999-11-10 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
JP2762215B2 (ja) * 1993-08-12 1998-06-04 株式会社半導体エネルギー研究所 薄膜トランジスタおよび半導体装置の作製方法
JP2814049B2 (ja) * 1993-08-27 1998-10-22 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
TW264575B (zh) * 1993-10-29 1995-12-01 Handotai Energy Kenkyusho Kk
JP3562590B2 (ja) * 1993-12-01 2004-09-08 株式会社半導体エネルギー研究所 半導体装置作製方法
US5612250A (en) * 1993-12-01 1997-03-18 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device using a catalyst
JP2860869B2 (ja) * 1993-12-02 1999-02-24 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
US5654203A (en) * 1993-12-02 1997-08-05 Semiconductor Energy Laboratory, Co., Ltd. Method for manufacturing a thin film transistor using catalyst elements to promote crystallization
KR100319332B1 (ko) * 1993-12-22 2002-04-22 야마자끼 순페이 반도체장치및전자광학장치
TW279275B (zh) * 1993-12-27 1996-06-21 Sharp Kk
JP3378078B2 (ja) * 1994-02-23 2003-02-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH07335906A (ja) * 1994-06-14 1995-12-22 Semiconductor Energy Lab Co Ltd 薄膜状半導体装置およびその作製方法
JP3072000B2 (ja) * 1994-06-23 2000-07-31 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3897826B2 (ja) * 1994-08-19 2007-03-28 株式会社半導体エネルギー研究所 アクティブマトリクス型の表示装置
US5712191A (en) * 1994-09-16 1998-01-27 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device
JP3942651B2 (ja) * 1994-10-07 2007-07-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3486240B2 (ja) * 1994-10-20 2004-01-13 株式会社半導体エネルギー研究所 半導体装置
US5756364A (en) * 1994-11-29 1998-05-26 Semiconductor Energy Laboratory Co., Ltd. Laser processing method of semiconductor device using a catalyst
TW448584B (en) * 1995-03-27 2001-08-01 Semiconductor Energy Lab Semiconductor device and a method of manufacturing the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100423199C (zh) * 2003-10-16 2008-10-01 Jsr株式会社 用于形成硅-钴膜的组合物、硅-钴膜及其形成方法

Also Published As

Publication number Publication date
US6110770A (en) 2000-08-29
US5879977A (en) 1999-03-09
CN1058583C (zh) 2000-11-15
KR0169508B1 (ko) 1999-02-01
CN1540721A (zh) 2004-10-27
CN1264180A (zh) 2000-08-23
CN1150595C (zh) 2004-05-19
CN1156917C (zh) 2004-07-07
CN1291785A (zh) 2001-04-18
JPH06244104A (ja) 1994-09-02
JP3562588B2 (ja) 2004-09-08
US6451638B1 (en) 2002-09-17

Similar Documents

Publication Publication Date Title
CN1058583C (zh) 半导体的制造方法
US6423586B1 (en) Method for crystallizing semiconductor material without exposing it to air
US5962869A (en) Semiconductor material and method for forming the same and thin film transistor
US6271066B1 (en) Semiconductor material and method for forming the same and thin film transistor
US5147826A (en) Low temperature crystallization and pattering of amorphous silicon films
CN1132222C (zh) 半导体制造工艺和半导体器件制造工艺
CN1052110C (zh) 制造半导体器件的方法
US5970327A (en) Method of fabricating a thin film transistor
US7557375B2 (en) Method for fabricating crystalline silicon
US6562672B2 (en) Semiconductor material and method for forming the same and thin film transistor
KR100611761B1 (ko) 박막트랜지스터 제조 방법
JP5352737B2 (ja) 多結晶シリコン薄膜の製造方法
KR19980036973A (ko) 마이크로파를 이용한 다결정 박막의 제조방법
KR101919086B1 (ko) 다결정 실리콘 박막 형성 방법
Jang et al. Metal induced crystallization of amorphous silicon
TWI278916B (en) Semiconductor film and method of forming the same, and semiconductor device and display apparatus using the semiconductor film
KR20120006821A (ko) 다결정 실리콘 박막의 제조방법
KR100425857B1 (ko) 비정질실리콘박막의결정화방법
US20080095975A1 (en) Polycrystalline silicon thin film and method for forming the same
KR100738659B1 (ko) 니켈 할로겐 화합물 분위기를 이용한 다결정 규소박막의제조방법
KR100786801B1 (ko) 실리콘 에피택시 층을 적용한 고품질의 다결정 실리콘박막의 제조방법 및 다결정 실리콘 박막을 포함하는전자소자
KR101123373B1 (ko) 다결정 실리콘 박막의 제조방법
JP2003077833A (ja) 多結晶半導体薄膜の製造方法
KR20090083186A (ko) 비정질 실리콘 박막의 결정화 방법
Ahn et al. Enhanced crystallization of amorphous Si using viscous Ni solution and microwave annealing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Expiration termination date: 20140215

Granted publication date: 20001115