CN102758171B - 具有可施加至靶材的射频电源的物理气相沉积等离子体反应器 - Google Patents

具有可施加至靶材的射频电源的物理气相沉积等离子体反应器 Download PDF

Info

Publication number
CN102758171B
CN102758171B CN201210229792.0A CN201210229792A CN102758171B CN 102758171 B CN102758171 B CN 102758171B CN 201210229792 A CN201210229792 A CN 201210229792A CN 102758171 B CN102758171 B CN 102758171B
Authority
CN
China
Prior art keywords
target
radio frequency
copper
plasma
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210229792.0A
Other languages
English (en)
Other versions
CN102758171A (zh
Inventor
卡尔·M·布朗
约翰·皮比通
瓦尼特·梅塔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/052,011 external-priority patent/US7399943B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102758171A publication Critical patent/CN102758171A/zh
Application granted granted Critical
Publication of CN102758171B publication Critical patent/CN102758171B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • H01J37/3408Planar magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners

Abstract

一种物理气相沉积反应器包含一真空腔室、一耦接到腔室上的真空泵、一耦接到腔室的工艺气体入口以及一耦接到工艺气体入口的工艺气体源;其中该真空腔室包含一侧壁、一顶板与接近腔室底面的一晶片支撑底座。金属溅射靶材位于顶板上且高压直流源耦接到溅射靶材上。射频等离子体源功率产生器耦接到金属溅射靶材上,且具有适合激发运动电子的频率。优选地,晶片支撑底座包含静电吸盘,以及耦接到晶片支撑底座上的一射频等离子体偏压功率产生器,所述射频等离子体偏压功率产生器具有适于将能源耦合到等离子体离子的频率。优选地,具有直径超过约0.5英寸的固体金属射频馈入杆(feed rod)与金属靶材啮合,此射频馈入杆轴向延伸于靶材上方,并且所述射频馈入杆穿过顶板并耦接到射频等离子体源功率产生器上。

Description

具有可施加至靶材的射频电源的物理气相沉积等离子体反应器
本申请为申请日为2006年1月30日、申请号为200680000183.0、进入国家阶段日为2006年10月27日、名称为“具有可施加至靶材的射频电源的物理气相沉积等离子体反应器”的发明专利申请的分案申请。
技术领域
本发明涉及一种物理气相沉积反应器,尤其涉及一种具有可施加到靶材的射频电源的物理气相沉积等离子体反应器。
背景技术
近来随着集成电路设计技术的进步,位于半导体晶体管上方的多层互连结构现今已有多达六层至十层的互连层。绝缘层将连续的导体层分开。导体互连层可具有完全不同的导体图案,以及导体互连层之间彼此连接且经由垂直延伸穿过绝缘层的通孔而在不同位置连接到晶体管层。本发明涉及通孔(via)的形成。
为了减少集成电路中的电阻功率损耗,互连层与通孔通常运用铝而近来运用铜作为主要的导体。绝缘层为二氧化硅,不过近来除了二氧化硅之外,已增加使用了其他具有低介电常数的介电材料。因为铜容易随时间扩散通过绝缘层从而造成电路短路,所以在集成电路内铜材料与介电材料的界面之间设置一层可阻挡铜扩散的阻挡层。阻挡层通常包含:与绝缘层接触的下层的氮化钽或氮化钛层、上层纯(或接近纯质)钽或钛层,以及最后在纯钽(或钛)层上方的铜种晶层。若导体为铜金属时,则钽为较佳选择。该铜导体沉积在铜种晶层上。这样的阻挡层可避免铜原子迁移或扩散进入介电材料中。与铜相比较,钽与氮化钽(或钛与氮化钛)层属于不良导体。利用物理气相沉积以形成阻挡层与铜导体。其他沉积工艺可运用在金属填充阶段(铜沉积),例如化学气相沉积、等离子体增强型化学气相沉积或电镀。
在水平互连层之间形成垂直延伸的通孔将会产生以下问题。利用刻蚀孔洞穿过上层水平绝缘(二氧化硅)层,以暴露出在下层水平互连层中的部分的铜导体,而形成每个垂直的通孔开口。通过通孔可连接至金属暴露的部分。在铜导体形成于通孔内之前,阻挡层必须沉积在通孔的内表面上,以避免铜原子如上述般的迁移。覆盖着通孔所有内表面的阻挡层覆盖所述下层铜导体的暴露部分。因为阻挡层为较差导体,必须选择性地将下层铜导体上的阻挡层去除(在一刻蚀工艺中),而不会由通孔的其他内表面处去除阻挡层。在去除步骤中需要中止物理气相沉积工艺以将晶片置于刻蚀腔室中进而进行在下层铜表面上选择性去除阻挡层的工艺。晶片接着会返回物理气相沉积反应室中以形成铜导体。
因选择性去除阻挡层所产生的步骤中断需要较高的生产成本并浪费工艺时间。近来已发展出一种两用(dual purpose)反应器,所述两用反应器能够进行阻挡层的物理气相沉积以及在阻挡层形成步骤后选择性去除阻挡层,而不需从反应器中去除晶片。因此,可大幅节省生产成本与生产时间。利用在物理气相沉积腔室中接近晶片处提供一个单独的线圈(coil)即可完成上述技术特征。在阻挡层形成之后,线圈用于形成感应耦合等离子体(inductively coupledplasma),所述感应耦合等离子体可选择性地由水平表面(即,由下层铜导体形成的底面)上溅射阻挡层。通过将射频(RF)偏压功率施加到晶片上,达到主要为垂直的离子速度分布,如此可达到该选择性溅射(后文称为“再溅射”(re-sputtering))。虽然这两用反应器可相当好地运行,但却必须承担额外的费用。例如,因为阻挡层沉积步骤包括溅射金属靶材,因而会将金属沉积在所有反应器腔室的内表面上,而再溅射线圈必须位于腔室内,使得不会有金属化的表面来遮蔽线圈或防止来自再溅射线圈的射频功率感应耦合到等离子体中。为了避免工艺污染,再溅射线圈由纯钽金属所形成,这会增加成本。线圈在使用寿命期间遭受非常大的温度变动而必须定期地更换。射频功率必须经过反应器腔室的真空密封以及经过周期性完全充满金属蒸气的环境,而耦接到再溅射线圈上。因此,必须运用可承受金属沉积的射频馈通(feedthrough)线,所述射频馈通线的外表面的结构可避免沉积材料的过度累积与剥落,且所述射频馈通线可在使用寿命期间承受宽广的温度漂移。
另外已知的两用反应器是运用一外部线圈,所述外部线圈位于顶板中未受金属溅射靶材阻隔的部分上方。但是问题在于,金属气相沉积工艺会使顶板涂覆一层金属因而阻隔来自线圈天线的感应耦合。另一个较严重的问题在于由线圈所产生的射频等离子体会从靶材产生出高比例的金属离子,使得无法将晶片偏压调整至不影响(去最优化)来自靶材的金属离子/蒸气通量下能选择性刻蚀水平表面的最佳情况。因此,金属沉积工艺与再溅射工艺必须在不同时间下进行。
应该注意的是,虽然上述两用反应器能够进行钽/氮化钽(Ta/TaN)阻挡层沉积步骤与再溅射步骤,但是通常需运用不同的反应器以进行后续的铜沉积步骤。这是因为晶片上需要高通量的铜离子,且PVD反应器必须特别配置,以使溅射出的铜离子在铜靶材处非常致密的等离子体中自离子化(self-ionize)。特定地,将非常高的直流功率(40-56千瓦)施加到铜靶材上,并运用一特定装配的磁控管(magnetron)在靶材处以产生较集中的等离子体。因为在接近靶材处有高密度的铜离子,所以磁控管设置在晶片上方非常高的位置(390毫米)上,这将铜沉积速率限制在可接受的临界值(以及提供铜中性粒子有利的准直(collimation))。然而,通常大部分的铜离子沉积在腔室中的护罩(shield)上,或者则在长距离的行进中损耗掉。
除了需要铜PVD腔室与阻挡层PVD腔室之外,必须运用第三腔室“刻蚀腔室”以进行预沉积清洁工艺(pre-deposition cleaning process),因为铜PVD腔室与阻挡层PVD/再溅射腔室不适于进行清洁/刻蚀工艺。
另外的问题是,在阻挡层形成过程中沉积的钽和/或氮化钽容易在通孔侧壁上有不均匀的厚度,特别是接近垂直壁的顶角落的累积较快,而呈现出夹止(pinch-off)的趋势。因此必须限制工艺条件以改善上述问题。在完成阻挡层沉积之后进行再溅射工艺即可解决一部分此类问题,因为再溅射工艺对通孔侧壁的顶部与角落的钽或氮化钽去除较快,而其他部分则较慢,同时可将由通孔的水平表面(底面)上去除的钽或氮化钽材料传输至通孔侧壁的下部分上。不过,若能同时避免初期不均匀的钽或氮化钽沉积问题以消除任何夹止产生的风险,则可允许更自由的工艺条件范围。
避免使用该内部再溅射线圈,而以较简单的方式来提供至少部分该内部再溅射线圈所带来的好处是有益的。
本发明在不需要使用内部再溅射线圈之下仍能得到先前技术中使用该线圈才能获得的好处。本发明进一步提供减轻或避免阻挡层不均匀沉积的方法和一种在阻挡层形成过程中避免或减小阻挡层沉积在作为通孔底面的暴露铜导体表面上的方法。
另一欲解决的问题是,当技术进步而使通孔与其他特征有较小的几何形状和较高的深宽比时,必须提高溅射材料(例如,铜)的离子化程度,以达成沉积膜层所需的共形性(conformality)。上述提高离子化程度需要将较高的VHF功率施加到晶片支撑底座上。为了增加工艺性能需要精确控制晶片的温度,因此需使用静电吸盘以将晶片夹固于温度受控的表面上。使用静电吸盘限制了可施加到晶片上的VHF功率量。即,主要原因在于在静电吸盘中由射频功率施加的电极通常由氮化铝盘(puck)内的小型钼网(molybdenum mesh)所组成。网的小直径(gauge)(例如,100微米)大幅度限制了作为射频发射器的网的效率且限制了可施加网上的射频功率量低至最多1.5千瓦。而用在小特征(例如,45纳米)的高深宽比的开口上的共形沉积所需要的离子化程度却只能在非常高的VHF功率(例如3.5千瓦或更高)下方能达成。
发明内容
一物理气相沉积反应器包含一真空腔室、一耦接到腔室上的真空泵、一耦接至腔室的工艺气体入口,以及一耦接至工艺气体入口的工艺气体源,其中该真空腔室包含侧壁、顶板与接近腔室底面的晶片支撑底座。金属溅射靶材位于顶板上,且一高电压直流源耦接至溅射靶材上。一射频等离子体源功率产生器耦接至该金属溅射靶材上,且所述射频等离子体源功率产生器具有适合激发运动电子的频率。优选地,该晶片支撑底座包含一静电吸盘,以及一射频等离子体偏压功率产生器耦接至该晶片支撑底座上,所述射频等离子体偏压功率产生器具有适于耦合能源至等离子体离子的频率。优选地,具有直径超过约0.5英寸的固体金属射频馈入杆(feed rod)与金属靶材啮合,此射频馈入杆穿过顶板而轴向延伸至靶材上方且耦接至射频等离子体源功率产生器。
附图说明
图1是运用本发明的等离子体反应器的剖面图;
图2是根据本发明一个方案的晶片支撑底座的放大剖面图;
图3是根据本发明另一个方案的晶片支撑底座的放大剖面图;
图4示出在图1的反应器中使用两种不同的等离子体偏压功率频率;
图5示出图4实施例的不同偏压功率频率的不同离子能量分布的组合;
图6A至图6E是根据本发明工艺的集成电路的连续剖面图;
图7是在图6A至图6E工艺中所形成的阻挡层的放大剖面图;
图8A至图8C示出在本发明工艺的一个实施例中的阻挡层的形成;
图9A至图9B示出在本发明工艺优选实施例中的阻挡层的形成;
图10是本发明优选工艺的方块流程图;
图11A、图11B与图11C是一穿透介电层的窄开口的剖面侧视图,以及
图11A、图11B与图11C示出在图1的反应器的三个不同模式中的沉积结果,此三个模式分别为共形(conformal)模式、非共形(non-conformal)模式以及穿通(punch-through)模式;
图12示出根据第一实施例的等离子体反应器;
图13示出根据第二实施例的等离子体反应器;
图14示出根据第三实施例的等离子体反应器;
图15示出根据第四实施例的等离子体反应器;
图16示出根据第五实施例的等离子体反应器;
图17描述了图1反应器在同一晶片上进行预沉积清洁工艺、介电阻挡层沉积工艺与金属阻挡层沉积工艺;
图18A与图18B示出图2的射频接地导电片(RF grounding conductive tab)的一个实施例;
图19示出PVD反应器的第一优选实施例,该PVD反应器具有静电吸盘和高效VHF发射器,并且所述PVD反应器在溅射靶材上运用一转动磁铁阵列(magnet array);
图20是对应图19的俯视图;
图21示出图19与图20的磁铁阵列的环形磁局限(toroidal magneticconfinement,或称环形磁围阻)图案;
图22示出PVD反应器的第二优选实施例,该PVD反应器具有静电吸盘和高效VHF发射器,并且所述PVD反应器在溅射靶材上运用一转动磁铁阵列;
图23示出在图22反应器的溅射靶材上的射频馈入圆柱物(RF feed column)的第一方案;
图24示出在图22反应器的溅射靶材上的射频馈入圆柱物的第二方案;
图25、图26与图27分别示出第一、第二与第三的可供选择实施例;
图28示出根据本发明的一个方案中用以进行物理气相沉积的工艺。
具体实施方式
等离子体反应器形成用于导体的阻挡层(如,钽/氮化钽膜或钛/氮化钛膜),在沟槽中或位于集成电路的连续互连层间的贯穿通孔中,例如当导体为铜时,所述铜的阻挡材料为钽/氮化钽。等离子体反应器能进行物理气相沉积与高度选择性的再溅射工艺,以从构成通孔底面的下层导体的暴露水平表面上去除阻挡材料。值得注目地,反应器完成上述所有工艺,而不需要使用内部线圈,所述内部线圈在先前技术中用于完全和准确控制的再溅射步骤中。取代的是,等离子体形成在晶片附近以进行再溅射步骤。为了上述目的,可导入诸如氩气的工艺气体,并以可有效地将能量耦接至运动电子以在接近晶片处激发氩气等离子体离子的射频频率将源功率施加到晶片上。在本说明书中所使用的“源功率(source power)”一词是指射频功率,该射频功率可利用将功率耦合到等离子体中的运动电子上,而维持射频耦合等离子体。“源功率”与“源”一词有所区别,当提到直流电激发金属溅射靶材时,“源”指在金属沉积工艺中金属原子或离子的“源”。通常,因为电子的低质荷比(mass-to-charge ratio)所以源功率频率为VHF频率。在再溅射步骤中运用形成在晶片附近的VHF耦合等离子体的离子。通过可有效地将能量耦接到离子(例如,氩离子)上的射频频率将偏压功率施加到晶片上,可建立再溅射步骤对水平表面的选择性,由于离子具有高质荷比所以此射频频率通常为高频(HF)或低频(LF)。这使得在晶片表面的等离子体鞘上的离子速度分布被限制在绕着腔室轴的小方向范围内,通常为垂直方向;并使再溅射步骤对垂直于腔室轴的表面具有高度选择性,通常为水平表面。显著的特征为,可在不影响来自靶材朝向晶片移动的金属离子的通量(flux)的情况下,以偏压功率控制离子再溅射或刻蚀步骤的选择性。利用在靶材表面处的一低功率(2-5千瓦)直流放电等离子体可有利于上述特征,所述低功率直流放电等离子体由靶材处产生主要为中性的金属粒子或原子,这些粒子或原子不受施加到晶片上的偏压功率的影响。因此,运用两种不同的等离子体,一种等离子体为位于靶材处的直流放电等离子体,而另一种等离子体则为位于晶片处的射频(VHF)等离子体。因此,可使靶材溅射最佳而不影响在晶片处的再溅射等离子体,同时可使偏压电压最佳而不影响靶材溅射。如果运用在接近靶材处使用射频耦合等离子体以产生物理气相沉积工艺的金属离子的传统的离子物理气相沉积反应器的话,则无法达到该特征。
提供本发明特有的优选模式,其中物理气相沉积与再溅射可同时进行,且可在不影响来自靶材的金属原子通量(flux)的情况下,调整再溅射与刻蚀工艺的选择性。
再溅射步骤可补偿阻挡层材料沉积的不均匀性。因此,在本发明的一个实施例中,再溅射步骤可与阻挡层沉积步骤同时进行。上述方案是可行的,因为本发明的优选实施例产生某种程度上独立的两种等离子体,即,由磁控管局限到靶材上的接近顶板或靶材处的直流放电等离子体,以及接近晶片表面的射频等离子体,以进行再溅射。因此,最佳化接近顶板的等离子体以溅射靶材,此时(同时)最佳化在晶片处的等离子体以再溅射并选择性刻蚀每个通孔的底面。本发明的一个优点为可使沉积阻挡层上显著的不均匀性被减少或从未形成,从而减少夹止发生的机会或其他在通孔中的问题。此实施例的另一个优点在于,在整个阻挡层沉积/再溅射工艺当中,可完全避免阻挡材料累积在用以形成通孔底面的下层导体的暴露水平表面上。通过相对于再溅射速率(主要由施加至晶片的VHF等离子体源功率所控制)调整阻挡材料沉积速率(主要由钽靶材直流溅射电压所控制)而完成上述方案。
本发明根据应用可提供一些好处。例如,因为需要金属中性粒子,所以运用低功率(2-5千瓦)直流功率以在所有沉积工艺中溅射金属靶材,这些金属包含铜、钽和钛。因此,在晶片上方的靶材高度与磁控管设计在所有工艺中相同,使得同样的腔室可进行任何一个或所有上述工艺。例如,在晶片上方的靶材高度可相对较低,或介于约225毫米(mm)与290毫米之间。此外,可使用VHF源功率施加器(applicator)与高频偏压功率施加器以激发等离子体(例如氩气等离子体)而不需要靶材,以在每个沉积工艺之前进行预沉积清洁工艺。在每个沉积步骤与所有沉积步骤之前,可重复上述预清洁工艺,该些沉积步骤包含阻挡金属(钽)沉积步骤、阻挡介电(氮化钽)阻挡沉积步骤、铜种晶层沉积步骤与铜导体沉积步骤。
反应器设备
参照图1,本发明第一实施例的反应器包含一由柱状侧壁10所限定的真空腔室、一盘状顶板12以及一晶片支撑底座14,所述晶片支撑底座14用于支撑待处理的半导体晶片16。待沉积到晶片16上的金属(例如,钽)靶材18置放在顶板12上。由柱状护罩20所组成的工艺套件包围晶片16与靶材18。传统种类的磁控管22位于靶材18上方,且所述磁控管22位于顶板12的外侧。高电压直流源24耦接到靶材18。工艺气体注射器26提供来自一供给28的工艺气体进入腔室内部。真空泵30使真空腔室内维持所需的低于大气的压力。
晶片底座14经由一阻抗匹配网络34而耦接到VHF等离子体源功率产生器36,并且所述晶片底座14耦接到一高频或低频等离子体偏压功率产生器38。高压直流源维持接近靶材18处的上层等离子体40。VHF等离子体源功率产生器36维持位于或接近晶片16的表面的下层等离子体42。两种等离子体40、42可同时维持或可在不同时间产生。上层等离子体40为直流放电等离子体,所述直流放电等离子体可溅射靶材18,以由靶材18处产生用以沉积在晶片上的主要中性金属原子,以及一些来自靶材18的金属离子。下层等离子体42是电容式耦合射频等离子体,所述电容式耦合射频等离子体促进晶片16的水平表面的选择性刻蚀。可单独控制这两种等离子体40、42,以独立控制金属沉积工艺与再溅射工艺。施加到晶片上的低频偏压功率决定水平表面的再溅射/刻蚀工艺的选择性。等离子体均匀性,特别是接近晶片的等离子体42的均匀性,由电磁线圈43所控制,该电磁线圈缠绕反应器腔室的柱状侧壁并由电流源控制器45供给直流电流。
在图2中示出了VHF源功率与高频或低频偏压功率到晶片上的耦合。晶片支撑底座14可以是用于静电保持晶片16在适当位置的静电吸盘(ESC)。在这种情况下,该ESC或底座由一绝缘层50和一电极52所组成,所述绝缘层50位于导电底座51上,并且所述电极52诸如包埋在该绝缘层50内的导电网。该底座结构可向下延伸至一同轴配置中,该同轴配置由一连接到电极52的中央导体54、一绝缘中间层56与一连接到导电底座51的外部导体58所组成。导电底座通过导电片60耦接到柱状护罩20的底部,以提供较连续的接地参考。中央导体54耦接到该射频功率源上,同时外部导体58接地。阻抗匹配网络34由传统阻抗匹配电路64和传统阻抗匹配电路68所组成,所述传统阻抗匹配电路64耦接到射频(VHF)等离子体源功率产生器36,并且所述传统阻抗匹配电路68耦接到射频(高频或低频)等离子体偏压功率产生器38。阻抗匹配电路64的输出经由高通滤波器(high pass filter)65而连接到晶片底座中央导体54上,而阻抗匹配电路68的输出经由低通滤波器(low pass filter)69而连接到晶片底座中央导体54上。此外,直流吸盘电压源74连接到晶片底座中央导体54上,且所述直流吸盘电压源74通过一绝缘电容器76而与射频功率隔离。高通滤波器65具有足够高的截止频率(cut-off frequency),以避免来自射频产生器38的高频或低频电流到达VHF匹配电路64,而低通滤波器具有足够低的截止频率,以避免来自射频产生器36的VHF电流到达高频(或低频)匹配电路68。
图3示出晶片支撑底座14的另一个实施例,其中电极52接触晶片且没有静电固定该晶片。在该实例中,因为电极52可能暴露至等离子体中,所以电极52可由欲沉积在晶片上的材料所构成,所述材料例如钽。
图4示出由高频与低频频率(例如,分别为13.56百万赫兹与2百万赫兹)两者所构成的偏压功率的实施例。为了这个目的,使用两偏压功率射频产生器,即高频偏压功率产生器38a与低频偏压功率产生器38b,所述产生器38a、38b分别经由匹配电路68a、68b与滤波器69、69a耦接到晶片底座中央导体54上。VHF源功率产生器36经由阻抗匹配电路64与高通滤波器65而耦接到晶片底座中央导体54上。该实施例的一个优点在于,高频偏压的较低离子能量分布与低频偏压的较高离子能量分布(都显示在图5中)可加以结合,以产生如下离子能量分布,所述离子分布的波峰介于低频与高频离子能量分布波峰之间。通过调整低频与高频功率产生器38a、38b的相对功率大小,可使高峰在能量方向上、向上或向下偏移。
靶材材料(例如,钽)的沉积速率主要由直流电压源输送到靶材上的功率所决定。刻蚀/再溅射工艺(对于水平表面)的选择性由偏压功率所决定,同时刻蚀/再溅射工艺的速率主要由源功率大小所决定。因此,三种参数即,金属沉积速率、对水平表面的刻蚀选择性以及刻蚀速率,可个别地加以控制。因为可单独地控制上述参数,所以若有需要,金属沉积与刻蚀/再溅射工艺可同时进行。
PVD/再溅射方法:
图1的反应器对于形成在集成电路的连续互连层之间的金属接触特别有用。通常,集成电路包含具有数千个晶体管的有源半导体层以及多个绝缘互连层,该绝缘互连层层叠在有源半导体层上,并且所述绝缘互连层在所述晶体管间提供复杂的互连电路。利用金属(例如,铜)填充在互连层之间的通孔或垂直孔洞而形成在互连层之间的连线。为了避免因铜扩散通过绝缘材料形成短路而造成失败,钽与氮化钽的阻挡层位于铜与绝缘材料之间。图1的反应器为沉积阻挡层到通孔中的工艺提供非常好的优点。
图6A是互连层100的部分制作片段的放大剖面图,该层叠的互连层位于有源半导体层(未显示)的上方。图6B是相应的顶视图。在许多导体中互连层100包含一对平行的铜导体102、104,所述平行的铜导体102、104嵌入到绝缘层(二氧化硅)106中。每个铜导体102、104通过阻挡层108而与介电层106分开,该阻挡层可避免铜原子扩散到介电层106中。优选地,阻挡层108包含氮化钽层,所述氮化钽层接触绝缘层106并被钽层与铜种晶层所覆盖。图7的放大图更好地示出了所述结构,图7示出氮化钽层110覆盖该绝缘层106,钽金属层112位于该氮化钽层110上以及铜种晶层114位于该钽金属层112上。铜导体,例如铜导体102,位于铜种晶层114上。钽金属层112与氮化钽层110之间建立着高质量的接合,而铜种晶层在一侧上与钽金属层112建立着高质量的接合,并在另一侧上与铜导体层102建立着高质量的接合。
在下一个互连层形成在绝缘层106的顶表面之前,通常利用刻蚀工艺(图6A与图6B)以打通穿过绝缘层106的垂直开口或通孔120。通孔120由大型开口122和一对较小型开口124所组成,所述大型开口122仅部分延伸到绝缘层106中,以及所述一对较小型开口124向下延伸到个别铜导体102、104。通常,充分进行形成两个较小型开口124的刻蚀工艺以去除位于每个导体102、104(图6A)上的阻挡层108暴露部分。
在稍后以铜金属填充后,通孔120即形成垂直的导体。然而,在铜沉积在通孔120之前,阻挡层130沉积在通孔120的所有表面上且位于绝缘层106的顶表面上,如图6C所示。阻挡层130具有如图7所示的相同结构,所述阻挡层130包含氮化钽层110、金属钽层112以及铜种晶层114。本发明的一个实施例中,通过提供适当的材料作为金属靶材18(用于子层110、112的钽,以及用于子层114的铜),可在个别的步骤中沉积每个阻挡层120的子层110、112、114。利用导入工艺气体以溅射靶材18,该工艺气体受来自溅射电压源24的高直流溅射电压而在靶材附近离子化。为了沉积氮化钽子层110,以氮气作为工艺气体,而当钽原子与氮原子撞击晶片时,二者会结合在一起以形成氮化钽膜层。当沉积金属钽层112和之后沉积铜种晶层114时,工艺气体为惰性气体或非反应性气体,例如氩气。因此,进行三个沉积步骤。首先,使用钽溅射靶材与氮气工艺气体以沉积氮化钽。其次,使用钽靶材与氩气工艺气体以沉积金属钽。最后,使用铜靶材与氩气以沉积铜种晶层。
本发明的一个实施例中,不需要将等离子体射频(VHF)源功率施加到晶片支撑底座14上,不过可施加适度的等离子体射频(高频或低频)偏压功率。这样,金属由靶材18中溅射出且沉积在晶片16上。因此,通过进行连续子层110、112、114的三个沉积步骤即可形成阻挡层130。阻挡层130覆盖所有暴露表面,所述暴露表面包含借着小开口124而暴露出来的铜导体102、104的部分,如图6C所示。
在三个沉积步骤都完成以后,进行刻蚀/再溅射步骤(图6D),在该步骤中VHF等离子体源功率产生器36与高频(或低频)等离子体偏压功率产生器38将功率输送到晶片支撑底座14上。这会在晶片附近产生等离子体,而利用此施加的等离子体偏压在水平表面上提供离子。这些离子溅射水平表面以去除沉积在所述水平表面上的膜层,例如在小开口124底部的阻挡层130部分。在小开口124内,最靠近垂直壁(或开口124的小直径)处会促使由每个开口124的底面124a溅射出的原子再沉积在垂直侧壁上。如同预期地,该沉积未覆盖在铜导体上,如图6D所示。在其他没有垂直侧壁处,例如绝缘层106的顶表面的大面积处,溅射离子再沉积到水平表面上,所以没有净损耗。
在优选实施例中,三个沉积工艺步骤中的每一个(对应于三层110、112、114)与刻蚀和再溅射工艺步骤同时进行。在此优选实施例中,溅射电压源24将功率输送到靶材18上,同时VHF等离子体源功率产生器36与高频(或低频)等离子体偏压功率产生器38将功率输送到晶片支撑底座14上。这会在晶片附近产生等离子体,而利用所施加的等离子体偏压功率可提供朝向水平表面的离子,同时沉积来自靶材18的原子。可使在水平表面上的刻蚀速率与来自靶材18的原子沉积速率相当,以避免来自靶材18的原子沉积到诸如铜导体102、104的暴露部分等水平表面上。通过适当调整直流溅射电压源24的电压(以调整沉积速率)与VHF源功率产生器36的功率大小即可实现上述条件。高频(或低频)偏压功率产生器38的功率大小通过调整以达到对水平表面所需要的刻蚀选择性大小。结果是阻挡层130不会形成在通孔120的水平底面上,从而工艺顺序省略掉了图6C所示的状态。
下一步骤(图6E)为沉积厚铜层以形成下个互连层200与垂直导体,该导体延伸穿过位于下层互连导体102、104与上层互连层200之间的通孔120,如图6E所示。
图8A至图8C所示出的顺序显示图6D的再溅射步骤的一个好处。图8A示出在阻挡层130沉积以前的其中一个小开口124。图8B示出形成阻挡层130的方式,其中再溅射步骤并未与沉积步骤同时进行。具体地,阻挡层130容易以较厚的厚度累积在小开口124的顶边缘,而以较薄的厚度沉积在开口124的底部。再溅射步骤从顶边缘去除过多的材料且从小开口的底面去除材料,以及将该材料再沉积到垂直侧壁上,使得沿着垂直侧壁的厚度分布变得较均匀,如图8C所示。问题在于,累积在小开口124顶边缘附近的阻挡材料可能导致夹止,并使得再溅射步骤不具备有利作用而使器件失败。
再溅射步骤(图6D)与沉积步骤(图6C)同时进行的优选模式中即可避免此风险。在该优选模式中,工艺从图9A所示的新形成的小开口124开始,接着所述工艺直接转变成如图9B所示的均匀阻挡层130。同时沉积/再溅射工艺可避免沉积工艺在阻挡层130中形成明显的不均匀沉积。这可消除在图8B所示的夹止效应的风险。
图10示出优选工艺的方块流程图。图10的方块310,沉积直流放电等离子体产生在靶材18(接近顶板)附近,以将来自靶材的原子沉积到晶片上。在方块312中,再溅射电容耦合射频等离子体产生在晶片附近,以产生可轰击晶片的离子,以再溅射来自靶材18的沉积原子。在方块314的步骤中,将等离子体射频偏压功率施加到晶片上。偏压功率足以使溅射对水平表面有高度选择性。在方块320中,施加到晶片上的等离子体源功率与施加到靶材上的直流溅射电压之间作相对调整,使得再溅射速率至少与溅射沉积速率一样大。
本发明的PVD/再溅射反应器的一个实施例能以三种不同模式操作以沉积三层不同种类的膜层:在模式(A)中,具有均匀的侧壁与水平表面覆盖率的高共形层;在模式(B)中,具有少量或无侧壁覆盖率的非共形层;以及在模式(C)中,具有良好侧壁覆盖率与大面积上有良好水平表面覆盖率,但是高深宽比开口的底表面无覆盖率的“穿透”(punch through)层。欲获得图11A中所示出的模式(A)的共形层可利用将相对低的直流功率施加到靶材上(例如5千瓦)、将高VHF源功率施加到晶片上(频率60百万赫兹,功率1千瓦)以及将低程度的HF偏压功率施加到晶片上(频率13.56百万赫兹,功率约100瓦)而得的。欲获得图11B所示出的模式(B)的非共形层可利用上述相同条件但是HF偏压功率大小减至零而得到。欲获得图11C中所示出的模式(C)的“穿透”层可利用将偏压功率增加到较高程度(频率13.56百万赫兹,功率500瓦)而得。共形模式对于沉积铜导体层特别有用。非共形模式对于覆盖具有低电阻金属(例如钽或钛)的通孔的底部或底面特别有用。穿透模式对于在通孔中沉积阻挡层(Ta与TaN)特别有用。
在一些实例中,上述三种模式的等离子体密度分布不一样。为了维持较均匀的等离子体密度,在三种不同的模式中,电流源控制器45可产生不同程度的直流电流以通过磁电线圈43。在任何实例中,最好使由电流控制器45所提供的电流程度最佳,以改进在工艺区域中的径向等离子体离子密度均匀性。
如图11A、图11B与图11C中所示的每一个模式皆可由图1所示的工艺控制器210所执行,该工艺控制器的输出控制着靶材高压直流供给24的功率大小、VHF等离子体源功率产生器36的功率大小以及高频或低频偏压功率产生器38的功率大小。工艺控制器210可由使用者通过使用者界面212所控制,使用者界面可让使用者程序化控制器,而使图1的反应器自动地在上述共形模式、非共形模式与穿透再溅射模式的任一个操作状态间转换。工艺控制器(或处理器)210因此具有三种状态,使得使用者可设定或程序化处理器210进入上述三种状态。一种状态为共形沉积模式,在此模式中处理器210将设定供给24的直流功率大小为低程度,将VHF产生器36的功率大小设定为高程度,以及将高频/低频偏压产生器36设定为低程度。另一种状态为非共形沉积模式,在此模式中处理器210将该供给24的直流功率大小设定为低程度,将VHF产生器36的功率大小设定为高程度,以及将高频/低频偏压产生器38设定为零(或接近零)。最后一种状态为穿透模式,在此模式中处理器210将该供给24的直流功率大小设定为低程度,将VHF产生器36的功率大小设定为高程度,以及将HF/LF偏压产生器38设定为高程度。
处理器210也可控制磁电电流源45,使得在每个模式(图11A、图11B、图11C)中,使电流大小最优化,以得到等离子体离子密度分布的较均匀的径向分布。
金属靶材18可设计为与图1所示的圆盘状的形状不同。例如,如图12中所示,可运用具有环状形状的改良靶材18’,此形状使顶板12的中央部分12a暴露出来,且靶材18’遮蔽了环状部分12’。上层的磁控管22’具有相对应的环状构造。任选地,可通过额外的VHF源功率产生器36’(图12中的虚线部分)而将VHF等离子体源功率施加到顶板中央部分12a。此可做为额外耦接到晶片支撑底座14的VHF源功率产生器或用来替代耦接到晶片支撑底座14的VHF源功率产生器36。然而,优选地是将VHF源功率耦接到底座14上而不是耦接到顶板12上。
图13描述了另一个方案,在此方案中,线圈天线400可设置在顶板中央部分12a上方,并且所述线圈天线400可通过阻抗匹配电路415而耦接到射频源功率产生器410上,以产生感应耦合等离子体。具有叶片的护罩420可在金属沉积过程中覆盖顶板中央部分12a以避免金属覆盖在顶板中央部分12a,这样线圈天线400不会与等离子体阻隔开来。
图14示出线圈天线400与靶材18’的配置如何与在图13的线圈天线400与靶材18’的配置交换。在图14中,线圈天线400为环状形状,而盘状靶材18位于顶板中央部分12a处。图14的反应器可改良为下述方案:可去除环状线圈天线400,且顶板12的周围部分12’可导电且经由阻抗匹配电路415而耦接到VHF等离子体源功率产生器410上,如图14的虚线所示。
图15示出另一替代实施例,其中靶材18为倒置的杯状。如图16所示,杯状可具有足够的高度以容纳沿着所述杯状侧边的磁铁阵列450以增强离子分布。
图17为在图1的PVD/再溅射腔室中进行的工艺流程图。在方块1710的步骤中,利用不施加功率到金属靶材上、导入刻蚀剂前驱气体(例如含氟气体或诸如氩气之类的中性气体)、由VHF产生器36将足够的VHF等离子体源功率施加到晶片上、以及由HF产生器38将小量的HF偏压功率施加到晶片上,可执行预清洁工艺。在方块1720的下一步骤中,利用导入氮气与溅射金属靶材(例如,钽),同时利用维持在晶片附近的VHF驱动的氩气等离子体进行再溅射,以及将偏压功率施加到晶片上,可沉积阻挡层的介电膜(例如,氮化钽(TaN))。在下一步骤中(方块1730),通过停止施加氮气使得纯金属得以沉积,同时利用VHF驱动的氩气等离子体进行再溅射,而得以沉积阻挡层的金属膜。因此,三个连续的工艺可在图1的PVD/再溅射反应器中进行而不需要从反应器中去除晶片。
在具有VHF源功率供给36的图1的反应器中使用护罩20可能产生问题。这是因为未受控制的等离子体会产生在护罩外面而使工艺不受控制。因为接近晶片周围的等离子体的射频返回路径沿着护罩20的内侧(晶片侧)上升到护罩20顶部,以及接着下降至腔室侧壁10的内表面(行经侧壁10的整个高度)并沿着腔室主体的底部而到达晶片支撑底座14上,所以上述情况可能发生。VHF频率在例如60百万赫时,此射频返回路径超过一个波长,所以在整个路径上将产生数个VHF源功率的驻波峰(standing wave peaks),而等离子体可能会产生在这些驻波峰处。当高峰落于护罩20的外侧时,以致于等离子体将产生在护罩20的外侧,也就是产生在由护罩20所包围的晶片工艺区域的外侧。上述未受控制的等离子体将使射频源功率从晶片工艺上转移开来,而损失工艺控制。
利用提供射频连接桥(connection bride)或上述参照图2的导电片60即可解决该问题。射频连接桥可在护罩20的边缘与晶片支撑底座14的导电底座51之间提供极短的射频返回路径。因此,在晶片16上方接近护罩20底部的等离子体的射频返回路径短于VHF源功率的波长,所以没有驻波高峰沿着护罩产生,并因此不会有不想要的等离子体沿着护罩20表面产生。
图18A与图18B示出连接桥或导电片60的优选实施例,所述连接桥或导电片60为由导电材料(例如,铜)所形成的弹性变形柱状弹簧224。圆柱状弹簧224支撑在导电台(table)上,导电台连接到晶片支撑底座14的导电底座51上。如图18A所示,当升高底座14至顶位置(在工艺中底座所在的位置)时,圆柱状弹簧224压靠在护罩20的底缘上,以便弹性变形成至少部分符合护罩20的底角落的形状,从而提供与护罩20的极低电阻的连接。路径由护罩20延伸通过导电片60并且径向沿着底座51直到同轴射频馈入的同轴外部导体58而抵达底座。在图18B中,底座14已经返回较低位置,使圆柱状弹簧224可返回至未形变圆柱状形状。弹簧224的圆柱状轴一般与晶片16的平面平行。
使用单一VHF频率的铜沉积:
图1的反应器可用以沉积铜,例如图7的铜种晶层114。图1的反应器中以铜取代钽为金属靶材18即可实现上述方案。然而,使用图1的反应器以进行铜沉积会产生一些特定问题。一个问题为在每个窄深宽比开口或通孔(图8B所示的种类)的侧壁会形成(在铜沉积过程中)突出的铜突出物或“颈部”(neck)。特别是,在铜沉积工艺时更难避免在侧壁上有金属颈部或突出物的形成。颈部的形成来自由开口的顶角落边缘溅射在侧壁相对面上的铜。在开口顶部附近由上述突出金属所形成的颈部容易延伸到窄开口或通孔中,且最后会因所述突出金属所形成的颈部封闭住了开口,而在开口底部封锁不可接受的空洞。溅射主要由载气(氩气)离子所造成。因为离子入射角介于30与50度之间时的溅射速率最大,相对于其他特征处的溅射作用来说,通孔或窄开口的顶角落边缘处的溅射作用高出许多。发现当使用载气(例如氩气)以促进运动电子的形成(对产生在晶片附近的VHF等离子体而言)时,难以找到可以避免形成开口的突出或开口封闭情形的VHF与HF功率源36、38工艺功率条件。看来用以导入足够铜原子通量到通孔开口底部(为了良好底部覆盖率)的HF偏压功率,会发生将窄开口的顶角落边缘的铜再溅射到开口的相对侧壁表面上,而在侧壁上产生问题铜突出的不利情形(产生类似图8A所示的沉积轮廓)。当侧壁突出成长时,所述侧壁突出会夹止该开口,而使铜无法沉积在开口的底面上。此问题可能由两个因素所造成:
第一,此种来自于顶角落边缘的铜的有害性再溅射情形在较高离子能量时会更严重。这是一个严重的问题,因为图1的HF与LF偏压功率频率在非常高的离子能量时产生具有极高离子能量的显著组成的宽广离子能量分布(例如,对应于峰对峰偏压电压的离子能量成分)。
第二,在选择足够加速足量铜离子到通孔底面的偏压功率大小时,必定会加速多倍于该等数量的氩离子到晶片,该氩离子会由窄开口的顶角落边缘溅射出铜原子。上述情形会发生是因为铜与氩(以本发明目的来说)具有相当类似的原子量,使得该偏压电压在晶片处整个等离子体鞘上的离子加速作用对于铜和氩来说大约相同。上述情况会有问题是因为,由于以铜作为图1的靶材18的效率有限,氩离子呈现出比铜离子多一级次或更多的量级,所以铜的氩溅射作用超越铜的沉积工艺。在一些实例中,利用氩离子的溅射作用也可达到通孔底面,并与通孔底面上的铜沉积作用竞争。
通过仅施加来自VHF源36的VHF源功率,同时不施加来自高频/低频源38的高频或低频偏压功率,可解决上述问题(至少对65纳米特征尺寸设计原则而言是如此)。在本说明书的先前说明中,曾提到在图1反应器的类似操作模式中并未施加高频或低频偏压功率。在标称VHF功率大小时(例如,100瓦至300瓦),由于不具高频或低频偏压功率而缺乏再溅射作用,因此此模式产生非共形金属沉积(所述非共形金属沉积的特征在于相对薄的侧壁覆盖率)。
然而,通过增加VHF源功率大小到中或高程度直到达到所要求的垂直晶片表面的离子通量(即,平行于每个高深宽比开口的轴)来获得想要的再溅射效果,以补偿所缺乏的高频或低频偏压功率,而使该模式成为高度共形沉积。我们发现此方式在没有高频或低频偏压功率时仍达成高度共形作用。我们已经发现可利用此方式增加VHF源功率大小而完全补偿所缺少的高频或低频偏压功率。相信这是因为可增加图1的VHF源36的VHF源功率大小至一点,在该点可获得由直接铜沉积所得到的良好通孔底面覆盖率,以及由铜再溅射所形成的良好侧壁覆盖率。再溅射效果在说明书中前述部分中阐述。因为增加VHF源功率大小不会明显增加离子能量而是主要增加铜离子通量,所以可达成上述情形。若VHF源功率频率特别高,例如60百万赫兹时,尤其可达成此特征。在上述VHF频率下增加源功率,不会明显地提高在晶片表面处的离子能量,因为对离子而言此频率太高而无法随之振荡。反而,源功率的主要部分(或全部)会消耗在于载气中产生运动电子(所述运动电子相当轻而可跟随源功率振荡)。此主要部分优选是大于至少50%。在60百万赫兹的源功率频率时,主要部分可约为80%。因此,不但可避免高频或低频偏压功率造成的不良效应,同时可达成共形的铜沉积。
要获得上述效果可能需要大幅度的增加VHF功率。非共形沉积的VHF功率的标称功率范围在约50瓦至300瓦之间,由腔室设计以及其他工艺参数所决定(压力、气体组成、气体流速等等)。通过将VHF功率增加到中度大小(在约300与800瓦之间),利用此新颖的单频共形模式即可获得所需程度的沉积共形性。在一些实例中,在完成共形性的所需程度以前,需要将VHF功率增加到高等程度(800至1500瓦之间)。在增加VHF功率程度时通过测量沉积共形性,可凭经验来确定用以进行单一频率共形模式的VHF功率的增加幅度。
总之,在图1反应器的新式铜沉积模式中,金属靶材18为铜,以及所运用的唯一的射频功率源是VHF等离子体源功率供给36。不施加任何等离子体偏压功率(即,诸如铜等离子所跟随的射频功率具有够低的频率)。在该单频模式中,增加VHF源功率大小,以达到所沉积铜层的高度共形性。具体地,增加VHF源功率,直到在晶片处的铜离子达到足够大的通量以在高深宽比开口的底面提供良好的铜覆盖率,并具有良好再溅射以提供适当的侧壁铜覆盖率。如在说明书中前述的所公开的其他模式,高电压直流供给24与磁控管22仅需要提供中等量的功率,而产生在靶材18附近的铜等离子体可以或不可以自我解离。
双频率铜沉积模式:
上述的单频铜沉积对于尺寸与65纳米一样小的特征有效,而对于更小尺寸(例如,45纳米、35纳米)的特征时使用高频或低频偏压并结合VHF源功率时可有最佳呈现。如上所述,增加VHF功率以增加铜离子的通量而不会成比例地增加离子能量。而通过将VHF源功率频率由60百万赫兹增加到81百万赫兹可加强该优点。随着此升高的频率,源功率中贡献到运动电子生成(以产生等离子体离子)的主要部分高达90%或95%,其中几乎没有源功率用来增加离子能量。因此,为了增加在晶片处的铜离子通量以增加在通孔底面的铜离子沉积,以及加强均匀的铜再溅射以在通孔侧壁上有均匀沉积,可将VHF源功率增加到更大的程度(而不会在离子能量上有大幅度伤害性地增加)。该特征减少了用于共形铜沉积所需的高频偏压功率大小。例如,所需的高频功率大小可由5千瓦减少至3千瓦,且在一些实例中甚至更低(例如,1千瓦)。通过减少高频偏压功率大小,由载气(例如,氩气)离子所造成的不良铜溅射也因此减少。应该注意的是,高频偏压功率有够低的频率而使得接近等离子体鞘的离子跟随所述等离子体振荡,所以几乎所有的功率消耗在加速离子朝向晶片移动从而增加离子能量。
为了调整或避免因载气的离子(例如,氩离子)使来自顶角落通孔边缘的铜再溅射到通孔侧壁上,所运用的载气必须具有比铜低很多的原子量。更明确而言,运用较轻的惰性气体(氦气)以作为载气。虽然氦气具有较低原子量,然而在VHF源功率的激发下,所述氦气几乎可与氩气一样产生足够的运动电子并进而在晶片表面产生适当的铜等离子体。若载气离子比铜轻很多,则此载气不会以很快的速度将通孔顶角落边缘的铜原子溅射到通孔侧壁上。因此该特征可达到对再溅射工艺的加强控制。在一些运用较高偏压功率的实施例中,另一问题为氩气离子从通孔底面将沉积铜原子溅离的速率高于铜沉积速率。利用较轻的载气(氦气)即可解决此额外的问题,因为较轻氦气原子不会产生如此高的铜溅射速率。因此,铜由顶角落通孔边缘再溅射到通孔侧壁上的再溅射作用能受到较佳的调整与控制。此外,通过较稠密的载气离子从通孔底面上去除铜的额外问题(会在一些实例中面临)亦可消除或至少减少。选择诸如氦气之类的惰性气体的原因在于所述惰性气体不会产生其他的化学反应或效应且不会与沉积铜起化学反应。
另一铜沉积所特有的问题为,沉积铜的表面具有相对较高的表面能量,且在等离子体增强沉积过程中为活跃状态(类似流体),因此通过在表面上累积成铜团或铜球体会减少所述铜的表面能量。此结果形成低质量的铜表面。利用在载气中添加物种可解决此问题,该物种在沉积铜表面上(在晶片上)与铜键结结合以减少铜表面能量。该表面能量减少剂的较佳选择为氢气。在氦气等离子体中,双原子的氢气分子解离成单原子氢,所述单原子氢黏附在位于沉积铜层的表面上的开放铜原子键结上。此表面反应减少铜表面能量,并使沉积铜原子在沉积过程时在均匀平坦层中流动,从而形成均匀平滑且高质量的铜表面。
此实施例也可有效去除沉积铜上的铜氧化层,或是避免在工艺过程中形成铜氧化层。在去除铜氧化物方面,氢气减少铜氧化物,并捕捉氧气原子以形成水分子,该水分子之后会被释放到腔室中。
氢气气体可占约10%的工艺气体含量。若特别设计反应器以控制纯氢气,则工艺气体可全为或几乎为氢气所组成。
当在65纳米通孔底面的铜沉积速率超过在侧壁上的颈部成长速率时,此偏压功率窗口或范围即为“65纳米窗口”。当在45纳米通孔底面的铜沉积速率超过在侧壁上的颈部成长速率时,此偏压功率窗口或范围即为“45纳米窗口”,因为开口的深宽比较大,所以此45纳米窗口明显地比65纳米窗口窄。在每个窗口范围内,铜底面沉积速率超过侧壁颈部成长速率,所以在侧壁铜的颈部封住开口的前,足以使铜沉积在通孔底面上并达到目标厚度(例如,50至500埃)。问题在于这些窗口并不存在或对实际操作而言太窄。
在本发明中加宽工艺窗口的一种方法是通过增加VHF源功率大小,从而可增加铜离子通量而不会同时增加溅射或颈部成长速率。另一种加宽工艺窗口的方法为运用低原子量的载气物种(氦气)。这种方法通过降低溅射作用而有助于降低颈部成长速率。使用低原子量载气也有助于增加在通孔底面的铜沉积速率,因为这可避免去除由载气溅射而沉积在底面表面上的铜。
VHF源功率的另一个优点在于,通过至少部分修饰(masking)高频(或低频)偏压功率的径向分布不均匀性而使工艺窗口更宽广。在一些实例中,在整个晶片表面上的偏压功率径向分布非均匀,这限制了可允许的射频功率范围(以避免在晶片的任何径向位置上超过工艺限制),因而使偏压功率工艺窗口(bias power process windows)变窄。通过施加VHF源功率来至少部分修饰此不均匀性,以减少该效应,而能保留较宽的工艺窗口。
总之,在图1的双频反应器中,铜的高度共形层沉积在高深宽比开口的底面以及侧壁上。为了这个目的,图1的金属靶材18为铜。工艺气体具有大约80%至95%的氦气以及大约5%至10%的氢气。低频(LF)或高频(HF)或结合两者的偏压功率在较佳约20至40瓦,或低于100瓦。源功率为大约60百万赫的VHF频率,不过使用81百万赫的VHF源功率频率可达到优异的效率。同样地,在本说明书所阐述的上述实施例中,VHF源功率频率可增加至81百万赫以提高工艺效率。这使得VHF源功率大小可进一步增加,以加强工艺效率而不会增加离子能量。
具有ESC与有效VHF发射器的PVD反应器
在图19的反应器中,在具有最大VHF功率容量的高效率VHF发射器中利用整合溅射靶材与转动磁铁,可消除作为VHF发射器的静电吸盘的低效率与低功率容量。
参照图19,反应器包含由柱状侧壁10所定义的真空腔室、盘状顶板12以及晶片支撑底座14,所述晶片支撑底座14用于支撑待处理半导体晶片16。欲沉积在晶片16上的金属(例如,铜)靶材18固定在顶板12上。由柱状护罩20所组成的工艺套件包围着晶片16与靶材18。传统种类的转动磁铁(磁控管)22位于靶材18上方,且位于顶板12的外侧。高压直流源24经由低通滤波器25而耦接到靶材18上,该低通滤波器包含串连电感器(seriesinductor)25a与并联电容器(shunt capacitor)25b。工艺气体注射器26提供来自供给28的工艺气体进入腔室内部。真空泵30使真空腔室内维持所需的低于大气压力。
晶片底座14经由阻抗匹配网络34而耦接到高频或低频等离子体偏压功率产生器38上。施加到晶片上的LF偏压功率决定水平表面的再溅射/该蚀工艺的选择性。可利用电磁线圈43来改善等离子体的均匀性,该电磁线圈缠绕反应器腔室的柱状侧壁并由电流源控制器45供给直流电流。
晶片支撑底座14可为图2所示的静电吸盘(ESC)种类。参照图2,图19的晶片支撑底座14由位于导电底座51上的绝缘层50与位于绝缘层50内的电极52(例如,导电网)所组成。底座结构向下延伸到同轴配置中,该同轴配置由一连接到电极52的中央导体54、绝缘中间层56与外部导体58所组成,所述外部导体58连接到导电底座51。导电底座51通过导电片60可耦接到柱状护罩20的底部以提供较连续的接地参考。中央导体54通过绝缘电容76而耦接到射频匹配34上,同时外部导体58接地。阻抗匹配网络34耦接到射频(高频或低频)等离子体偏压功率产生器38。此外,直流吸盘电压源74经由低通滤波器或电感器73而连接到晶片底座中央导体54。
在图19中,磁控管22具有耦接到中央臂81的中央轴80,该中央臂81连接到磁铁阵列85。利用转动中央轴80,电子马达(未显示)可产生轨道运动。
图20示出可供选择的实施例,其中转动磁铁或“磁控管”22具有耦接到中央臂81的近端的中央轴80、双行星轴(dual planetary axle)82连接到中央臂81的远程及行星臂(planetary arm)83的近端。双旋转轴84连接到行星臂83的远程以及磁铁阵列85绕着轴84旋转。通过设置行星齿轮(未显示),磁铁阵列可进行行星移动。
图19的优选实施例中,在磁铁阵列85内的磁铁85a可如图21的方式对称设置的永久磁铁,以便在靶材18附近产生离子的环形局限图案,所述环形局限图案与图21虚线所描绘的磁铁局限图案85b一致。在此实例中,靶材18的直径为19英寸且磁铁阵列85的直径为5英寸。
参照图19,通过提供相对较厚(直径0.75英寸)的射频杆86嵌入在金属靶材18中,且所述射频杆86轴向延伸穿过中央轴80内的柱状中空以及穿过顶板12,可将金属(铜)溅射靶材18与磁控管22整合成具有高效率的高功率VHF发射器或施加器。射频杆86可与中央轴80电性绝缘。VHF阻抗匹配电路87耦接(或设置)到杆86的外部(顶部)端,以及VHF源功率产生器88耦接到匹配电路87。射频阻抗匹配87的输出电容器(未图示)将来自直流供给24的直流电路与匹配87隔离开来。优选地,VHF产生器88施加频率约81百万赫兹与功率约3.5千瓦(或更多)的射频功率。在一个实例中,靶材18为铜,且射频杆86为直径0.75英寸的铜杆且螺旋锁入靶材18中。在任何实例中,铜杆86相对较厚,所述铜杆86的直径至少为0.5英寸或更厚(0.7英寸或更厚)。
图22示出另一个实施例,其中多个射频杆90由VHF阻抗匹配电路87径向延伸到所述轴射频杆91,所述射频杆91耦接到靶材18边缘。在所示出的实施例中,射频匹配电路87相对圆盘状金属靶材18中央而设置,所述杆90具有均等的长度且杆91有均等的长度。杆90、91足够厚(例如,直径0.7英寸)以提供VHF功率高效耦合且可承受大的VHF功率(例如,3.5千瓦以及以上)。在图22的实施例中,有三组对称设置的射频杆90、91(如图23的顶视图所示)或四组对称设置的射频杆90、91(如图24的顶视图所示)或更多。
在图1与图19的实施例中,来自源24的直流功率施加到金属(铜)靶材18上,以开始离子化载气(例如,氦气),这启动金属靶材18的溅射。来自靶材18的相对较少量的金属原子在此工艺中离子化。通过磁控管22的磁场,将由DC功率产生的载气(例如,氦气)离子和一些铜离子限制在靶材18表面上的上述环形局限图案内。离子化份额(fraction)不足以用于沉积在晶片上的高深宽比底面上。为了提供可进行上述沉积的非常高的离子化份额(例如,超过80%),运用VHF源功率以解离由靶材18溅射出的中性金属原子。为了这个目的,在图1的实施例中,VHF源功率耦接到晶片上以在晶片表面附近产生VHF等离子体,该VHF等离子体与通过施加直流功率到靶材而在靶材18处产生的等离子体可能是分离开来的。在图1中,最大的VHF功率大小严重地被ESC网状电极的微细几何形状所限制,因此在一些实例中将晶片处的最大离子化份额限制在20%左右。我们发现用于沉积65纳米或45纳米特征尺寸的高深宽比开口的最佳离子化份额为接近或超过80%。因此,为了克服ESC的限制,在图19的实施例中,VHF功率通过该厚射频杆86而施加到靶材18上,如上所述。金属靶材18与射频杆86具有可使非常高的VHF功率施加到靶材18上的厚度,并且靶材18为相对有效率的VHF发射器。因此,在一些实例中,输送到等离子体的VHF功率几乎为三倍。因为本发明在可控制的沉积速率下可完成良好的离子化程度,所以可减少晶片到靶材之间的距离,而不需要大的间距。
操作上,离子化份额不再受低功率临界值与ESC 14的效率(例如,在一些实例中离子化份额低于20%)的限制,反而可以通过VHF产生器88施加非常高的VHF功率。所产生的高离子化份额(例如,在一些实例中大于80%)可消除对来自供给24的非常高直流功率的需求,同时首次能够在非常高的深宽比的开口或通孔中沉积高度共形涂层。优选地,直流靶材功率在大约1000瓦到2500瓦的范围内。然而,沉积速率可受到严密控制,并通过将来自供给24的直流靶材功率降至非常低的程度(例如,500瓦或更低),而可将沉积速率设定在非常低的程度,同时使用VHF功率将离子化份额提升到所需程度。这样做减少金属沉积速率,使得足以消除对晶片到靶材问的大间距(wafer-to-target spacing)的需求。到目前为止,在不使用VHF功率时,除非增加晶片到顶板的距离到大约400毫米(而仅增加7秒沉积时间),需要获得所需离子化份额的高直流功率会产生不受控制的高沉积速率。利用VHF功率与直流功率驱动靶材18,则晶片到靶材的间距可减至50毫米至70毫米或更少(例如,对处理300毫米的晶片而言)。此结果为,由VHF驱动金属靶材18到晶片上的离子化份额的减少极小或没有减少。在此方法中,通过VHF产生器88驱动(铜)靶材18而产生的VHF等离子体非常接近晶片,所以不需要将在晶片处用于足量离子化的VHF功率供应到ESC 14上。直流功率可依需要而减少,但不会明显减少离子化份额,此使得VHF功率不会减少。因此,优选的方式为减少或限制直流功率,以在晶片处获得高度控制的沉积速率,例如需要大约一分钟的沉积工艺(相较于较早的技术时,该技术提供沉积工艺时间大约仅数秒钟因而难以控制)。
将高频功率施加到ESC 14上,以产生来自水平表面或角落的铜再溅射到高深宽比开口侧壁上的合适速率的应用,已于说明书的前文中阐述。用于此目的的高频功率大小是应足够低,以使ESC 14(例如,细密的网状电极)的限制因素不会限制再溅射工艺。因此,用于控制再溅射的高频功率经由图19反应器的ESC 14而施加。
减少晶片至顶板间距的好处为可减少护罩20的表面积,而护罩20表面积的减少,可减少溅射金属(例如,铜)浪费在沉积到护罩上而不是晶片上的量。因此,在更换金属靶材18与护罩20之前,可处理较大数量的晶片(例如,20000个晶片),因而降低操作反应器的每个晶片成本。此展示出约一个数量级上的进步。
同时将直流功率与VHF功率施加到靶材18上,并将高频或低频功率施加到ESC 14上,有助于同步且独立控制三个重要参数:沉积速率、离子化份额与再溅射(再流动)速率。沉积速率受该直流供给24施加到靶材18上的直流功率大小所控制。离子化份额受由VHF产生器88施加到靶材18上的VHF功率大小所控制。再溅射速率受由高频(或低频)产生器38施加到ESC 14上的高频(或低频)功率大小所控制。在优选操作模式中,将非常低的直流功率(例如,小于500瓦)施加到溅射靶材上而得到非常低的沉积速率;将非常高VHF功率(例如,超过3.5千瓦)施加到溅射靶材上以得到足以在极高的深宽比开口中形成共形涂层的高离子化份额;以及将中度高频功率施加到ESC 14上以提供沉积金属再溅射的合适速率,以使金属再沉积到高深宽比开口的侧壁上。若有需要,则可将沉积速率(利用增加靶材直流功率)增加到一限度,此时金属原子通过VHF生成等离子体的通量超过等离子体所能达到的离子化速率,此离子化份额明显减少。相反地,当将一给定大小的直流功率施加到靶材18上时,可减少VHF功率直到来自靶材的金属原子通量超过VHF等离子体密度。
在一个实际实例中,VHF功率大小为3.5千瓦。这可在靶材18上感应出一个相当小或可忽略的直流电压,使得沉积速率几乎完全受直流靶材功率所控制。在此实例中,施加到靶材18上的直流功率为500瓦,直流供给提供大约300伏特电压与大约1.5安培电流。
在一个实施例中,图19与图20的反应器用于铜的等离子体增强型物理气相沉积,靶材18为铜。氦气气体作为载气以减缓晶片处的再溅射速率,以及氢气包含于工艺气体内(至多约10%氢气)以避免该高深宽比开口侧壁上的沉积铜的去湿润作用(de-wetting)。图19与图20的反应器可用以沉积阻挡层(例如,氮化钽阻挡层和/或钽阻挡层)。在这种情况时,靶材18为钽或钛或其他适当的阻挡金属。当沉积氮化钽阻挡层时,将氮气气体导入到腔室中。
如图25所示,金属溅射靶材可为围绕平面圆形顶板12a的平头圆锥体18’。射频杆86以类似图19所示的方式与靶材18’啮合,但由于靶材形状的原因,所以射频杆86必须偏离中央。另一种对称或置中的设置以虚线显示,其中VHF源87、88位于轴中央且通过多个射频杆86而馈入至靶材上,所述多个射频杆86平均分隔设置。
如图26所示,靶材18可与中央的圆形顶板12a对准且被平头圆锥形顶板部分12’所包围。另一选择(未在图26中示出)为在平头圆锥顶板部分12’上提供感应天线,如图14所示。
如图27所示,金属溅射靶材18可为倒钟型或“U”型,如图16的方式。在一个实施例中,射频杆86由靶材的中央向上延伸,如图27的实线所示。然而,优选的方式为通过以虚线标示的径向与轴向射频杆90’、91’,而在沿着图27的U型靶材18周围的多个平均间隔的馈入点18a、18b馈入VHF功率。
图28的流程图示出在等离子体反应器的真空腔室中进行铜物理气相沉积到集成电路上的方法,该方法如下:提供接近腔室顶板的铜靶材(图28的方块92);在面向接近腔室底面的靶材的晶片支撑底座上(方块93)放置集成电路晶片;将载气导入到真空腔室中(方块94);通过将直流功率施加到铜靶材上而建立在晶片上的沉积速率(方块95);通过将VHF功率施加到铜靶材上,而在接近晶片处建立所需的等离子体离子化份额(方块96);利用将高频或低频功率耦合到晶片上而促使铜的再溅射于晶片的垂直侧壁上(方块97);以及在晶片与靶材之间维持足够小的距离,使得VHF功率可控制在晶片表面的等离子体离子化份额(方块98)。
可使用图19的反应器来执行类似的工艺以在铜沉积以前,先沉积阻挡层,例如钽与氮化钽阻挡层。为了这个目的,靶材18为钽。为了沉积氮化钽阻挡层,将氮气添加到工艺气体中。
尽管本反应器已通过参照优选实施例进行详加说明,应当了解的是,可在脱离本发明的实质精神及范围下,针对本发明进行各式变化或修饰。

Claims (17)

1.一种物理气相沉积反应器,所述反应器包含:
真空腔室,所述真空腔室包括侧壁、顶板和接近所述腔室的底面的晶片支撑底座,以及耦接到所述腔室的真空泵;
工艺气体入口,所述工艺气体入口耦接到所述腔室,和工艺气体源,所述工艺气体源耦接到所述工艺气体入口;
在所述顶板处的金属溅射靶材;
高电压直流源,所述高电压直流源耦接到所述金属溅射靶材;
磁铁阵列,所述磁铁阵列与所述金属溅射靶材的一侧相邻并与所述晶片支撑底座相对;
中心轴,所述磁铁阵列绕所述中心轴能转动,其中所述中心轴包含轴向延伸中空通道,固体金属射频馈入杆延伸穿过所述通道;
所述固体金属射频馈入杆,所述固体金属射频馈入杆具有超过约0.5英寸的直径并且所述固体金属射频馈入杆包含第一端和第二端,所述固体金属射频馈入杆在所述第一端处与在所述晶片支撑底座的相对的一侧上的所述金属溅射靶材的表面处的所述靶材相啮合,所述固体金属射频馈入杆穿过所述中心轴并且与所述中心轴同轴并相分隔,所述固体金属射频馈入杆轴向延伸于所述靶材上方并穿过所述顶板,所述固体金属射频馈入杆的长度从所述靶材延伸到与所述靶材相对的所述磁铁阵列的一侧以外的位置;
射频匹配电路,所述射频匹配电路耦接到所述射频馈入杆的所述第二端,并且所述射频匹配电路安置在所述射频馈入杆的所述第二端上;和
射频等离子体源功率产生器,所述射频等离子体源功率产生器耦接到所述射频匹配电路。
2.根据权利要求1所述的反应器,其中所述晶片支撑底座包含静电吸盘。
3.根据权利要求1所述的反应器,所述反应器进一步包含射频等离子体偏压功率产生器,所述射频等离子体偏压功率产生器耦接到所述晶片支撑底座,且所述射频等离子体偏压功率产生器适合用于在一频率下将能量耦合到等离子体离子。
4.权利要求1所述的反应器,所述反应器进一步包含行星运动设备,所述行星运动设备耦接于所述磁铁阵列与所述中心轴之间,所述磁铁阵列包含磁极阵列,设置所述磁极阵列,以在接近所述靶材处的离子产生大体呈环形局限图案。
5.根据权利要求1所述的反应器,其中所述晶片支撑底座与所述靶材以一距离分隔,所述距离不超过所述晶片支撑底座的直径的约四分之一。
6.根据权利要求1所述的反应器,所述反应器进一步包含可去除护罩,所述护罩包围着环绕所述晶片支撑底座的工艺区域,并分隔所述工艺区域与所述腔室侧壁。
7.根据权利要求6所述的反应器,所述反应器进一步包含导电片,所述导电片耦接于所述护罩与所述晶片支撑底座之间。
8.根据权利要求1所述的反应器,其中所述金属溅射靶材包含铜。
9.根据权利要求1所述的反应器,其中所述金属溅射靶材包含钽。
10.根据权利要求1所述的反应器,其中所述射频等离子体源功率产生器是射频产生器。
11.根据权利要求10所述的反应器,其中所述射频产生器的频率约81百万赫兹。
12.根据权利要求1所述的反应器,其中所述金属靶材具有倒置半球型形状,且所述倒置半球型形状的开口朝向所述晶片支撑底座。
13.根据权利要求1所述的反应器,其中所述金属靶材具有环状平头圆锥形状。
14.根据权利要求1所述的反应器,其中所述固体金属射频馈入杆的所述第一端以螺纹方式与所述溅射靶材啮合。
15.根据权利要求1所述的反应器,其中所述射频馈入杆是相对于所述靶材居中的单一杆。
16.根据权利要求1所述的反应器,其中所述射频馈入杆的所述第二端嵌入在所述金属溅射靶材中。
17.根据权利要求1所述的反应器,其中所述中心轴的所述中空通道的直径超过所述射频馈入杆的直径。
CN201210229792.0A 2005-02-03 2006-01-30 具有可施加至靶材的射频电源的物理气相沉积等离子体反应器 Active CN102758171B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/052,011 2005-02-03
US11/052,011 US7399943B2 (en) 2004-10-05 2005-02-03 Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US11/222,245 US20060169584A1 (en) 2005-02-03 2005-09-07 Physical vapor deposition plasma reactor with RF source power applied to the target
US11/222,245 2005-09-07

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2006800001830A Division CN101124350B (zh) 2005-02-03 2006-01-30 具有可施加至靶材的射频电源的物理气相沉积等离子体反应器

Publications (2)

Publication Number Publication Date
CN102758171A CN102758171A (zh) 2012-10-31
CN102758171B true CN102758171B (zh) 2014-08-20

Family

ID=36755338

Family Applications (3)

Application Number Title Priority Date Filing Date
CN2005800135811A Active CN1950922B (zh) 2005-02-03 2005-10-12 将溅射源和偏压功率频率施加到工件上的金属等离子体汽相沉积和再溅射的设备
CN201210229792.0A Active CN102758171B (zh) 2005-02-03 2006-01-30 具有可施加至靶材的射频电源的物理气相沉积等离子体反应器
CN2006800001830A Active CN101124350B (zh) 2005-02-03 2006-01-30 具有可施加至靶材的射频电源的物理气相沉积等离子体反应器

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2005800135811A Active CN1950922B (zh) 2005-02-03 2005-10-12 将溅射源和偏压功率频率施加到工件上的金属等离子体汽相沉积和再溅射的设备

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2006800001830A Active CN101124350B (zh) 2005-02-03 2006-01-30 具有可施加至靶材的射频电源的物理气相沉积等离子体反应器

Country Status (4)

Country Link
US (8) US20060172536A1 (zh)
KR (8) KR20180115801A (zh)
CN (3) CN1950922B (zh)
TW (1) TW200702051A (zh)

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US20060172536A1 (en) * 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US8791018B2 (en) 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
US8920613B2 (en) * 2007-01-31 2014-12-30 Applied Materials, Inc. Offset magnet compensation for non-uniform plasma
DE102007004860B4 (de) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US8864958B2 (en) * 2007-03-13 2014-10-21 Jds Uniphase Corporation Method and sputter-deposition system for depositing a layer composed of a mixture of materials and having a predetermined refractive index
KR101136477B1 (ko) * 2007-04-06 2012-04-23 고쿠리츠 다이가쿠 호진 도호쿠 다이가쿠 마그네트론 스퍼터링 장치
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
WO2009044473A1 (ja) * 2007-10-04 2009-04-09 Canon Anelva Corporation 高周波スパッタリング装置
US8021487B2 (en) * 2007-12-12 2011-09-20 Veeco Instruments Inc. Wafer carrier with hub
US7727882B1 (en) 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
US9856558B2 (en) * 2008-03-14 2018-01-02 Applied Materials, Inc. Physical vapor deposition method with a source of isotropic ion velocity distribution at the wafer surface
US7846824B2 (en) * 2008-03-18 2010-12-07 Applied Materials, Inc. Methods for forming a titanium nitride layer
US20090242383A1 (en) * 2008-03-31 2009-10-01 Tokyo Electron Limited Apparatus and method for rf grounding of ipvd table
WO2009122378A1 (en) * 2008-04-03 2009-10-08 Oc Oerlikon Balzers Ag Apparatus for sputtering and a method of fabricating a metallization structure
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
JP5568845B2 (ja) * 2008-07-01 2014-08-13 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5249328B2 (ja) * 2008-07-11 2013-07-31 キヤノンアネルバ株式会社 薄膜の成膜方法
JP5417754B2 (ja) * 2008-07-11 2014-02-19 東京エレクトロン株式会社 成膜方法及び処理システム
US8992741B2 (en) * 2008-08-08 2015-03-31 Applied Materials, Inc. Method for ultra-uniform sputter deposition using simultaneous RF and DC power on target
WO2010042860A2 (en) * 2008-10-09 2010-04-15 Applied Materials, Inc. Rf return path for large plasma processing chamber
KR20100040037A (ko) * 2008-10-09 2010-04-19 김병준 기판이송용 트레이 및 이를 구비한 진공처리장치
US8070925B2 (en) * 2008-10-17 2011-12-06 Applied Materials, Inc. Physical vapor deposition reactor with circularly symmetric RF feed and DC feed to the sputter target
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8337675B2 (en) * 2009-01-26 2012-12-25 Spts Technologies Limited Method of plasma vapour deposition
GB0901157D0 (en) * 2009-01-26 2009-03-11 Aviza Technology Ltd A method of plasma vapour deposition
US8557088B2 (en) * 2009-02-19 2013-10-15 Fujifilm Corporation Physical vapor deposition with phase shift
US8540851B2 (en) * 2009-02-19 2013-09-24 Fujifilm Corporation Physical vapor deposition with impedance matching network
KR20100104119A (ko) * 2009-03-16 2010-09-29 삼성전자주식회사 박막 형성 장치 및 그의 박막 형성 방법
KR20120004502A (ko) * 2009-04-03 2012-01-12 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
US20100314245A1 (en) * 2009-06-12 2010-12-16 Applied Materials, Inc. Ionized Physical Vapor Deposition for Microstructure Controlled Thin Film Deposition
US20100314244A1 (en) * 2009-06-12 2010-12-16 Applied Materials, Inc. Ionized Physical Vapor Deposition for Microstructure Controlled Thin Film Deposition
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP5603219B2 (ja) * 2009-12-28 2014-10-08 キヤノンアネルバ株式会社 薄膜形成装置
WO2011093334A1 (ja) * 2010-01-26 2011-08-04 キヤノンアネルバ株式会社 成膜方法、成膜装置、および該成膜装置の制御装置
US9217197B2 (en) * 2010-02-26 2015-12-22 Applied Materials, Inc. Methods for depositing a layer on a substrate using surface energy modulation
US8795487B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Physical vapor deposition chamber with rotating magnet assembly and centrally fed RF power
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
WO2011156650A2 (en) * 2010-06-10 2011-12-15 Applied Materials, Inc. Low resistivity tungsten pvd with enhanced ionization and rf power coupling
US8471476B2 (en) * 2010-10-08 2013-06-25 Varian Semiconductor Equipment Associates, Inc. Inductively coupled plasma flood gun using an immersed low inductance FR coil and multicusp magnetic arrangement
US8779662B2 (en) * 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8803424B2 (en) * 2010-10-20 2014-08-12 COMET Technologies USA, Inc. RF/VHF impedance matching, 4 quadrant, dual directional coupler with V RMS/IRMS responding detector circuitry
US9103026B1 (en) * 2010-10-21 2015-08-11 Apollo Precision Beijing Limited Filter circuit for a magnetron deposition source
CN102487572B (zh) * 2010-12-02 2015-06-24 理想能源设备(上海)有限公司 等离子加工装置
CN102534524B (zh) * 2010-12-14 2015-04-15 北京北方微电子基地设备工艺研究中心有限责任公司 用于pvd工艺的反应腔室和pvd系统
US8431033B2 (en) * 2010-12-21 2013-04-30 Novellus Systems, Inc. High density plasma etchback process for advanced metallization applications
DE102011080035A1 (de) * 2011-07-28 2013-01-31 Hüttinger Elektronik Gmbh + Co. Kg Verfahren und Vorrichtung zum Schutz von an einen Hochfrequenzgenerator angeschlossenen passiven Komponenten
JP2013082993A (ja) * 2011-09-30 2013-05-09 Tokyo Electron Ltd マグネトロンスパッタ装置及びマグネトロンスパッタ方法
TW201324818A (zh) * 2011-10-21 2013-06-16 Applied Materials Inc 製造矽異質接面太陽能電池之方法與設備
US8956979B2 (en) 2011-11-17 2015-02-17 Skyworks Solutions, Inc. Systems and methods for improving front-side process uniformity by back-side metallization
TWI558832B (zh) * 2011-12-30 2016-11-21 Hoya Corp An optical element, an optical thin film forming apparatus, and an optical thin film forming method
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US8932429B2 (en) * 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US9340866B2 (en) * 2012-03-30 2016-05-17 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
US8647485B2 (en) 2012-03-30 2014-02-11 Applied Materials, Inc. Process kit shield for plasma enhanced processing chamber
US9404176B2 (en) * 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
KR20150053775A (ko) * 2012-09-07 2015-05-18 어플라이드 머티어리얼스, 인코포레이티드 얇은 기판들을 위한 포터블 정전 척 캐리어
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
CN103849848B (zh) * 2012-11-28 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 物理气相沉积装置
CN103014645B (zh) * 2012-12-26 2015-04-08 哈尔滨工业大学 一种大尺寸磁控溅射镀膜的简易强化方法
CN103915308B (zh) * 2012-12-31 2016-06-29 中微半导体设备(上海)有限公司 一种双射频脉冲等离子体的刻蚀方法及其刻蚀装置
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9281167B2 (en) 2013-02-26 2016-03-08 Applied Materials, Inc. Variable radius dual magnetron
US9593410B2 (en) * 2013-03-05 2017-03-14 Applied Materials, Inc. Methods and apparatus for stable substrate processing with multiple RF power supplies
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
CN103866257B (zh) * 2014-03-31 2016-01-27 苏州大学 一种三频高密度等离子体辅助磁控溅射薄膜的制备方法
US9746678B2 (en) 2014-04-11 2017-08-29 Applied Materials Light wave separation lattices and methods of forming light wave separation lattices
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
GB201505578D0 (en) 2015-03-31 2015-05-13 Spts Technologies Ltd Method and apparatus for depositing a material
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US9890449B2 (en) * 2015-04-29 2018-02-13 Seagate Technology Llc Methods of forming MgO barrier layer
US20160351799A1 (en) * 2015-05-30 2016-12-01 Applied Materials, Inc. Hard mask for patterning magnetic tunnel junctions
CN105112862B (zh) * 2015-10-08 2018-05-08 南京信息工程大学 在纯钆表面形成钆铜合金层的材料及制备方法
CN107306473B (zh) * 2016-04-25 2019-04-30 中微半导体设备(上海)股份有限公司 一种半导体处理装置及处理基片的方法
WO2017207144A1 (en) 2016-06-03 2017-12-07 Evatec Ag Plasma etch chamber and method of plasma etching
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
KR20190067939A (ko) 2016-11-08 2019-06-17 어플라이드 머티어리얼스, 인코포레이티드 패터닝 응용들을 위한 상향식 필러들의 기하형상 제어
US11739418B2 (en) 2019-03-22 2023-08-29 Applied Materials, Inc. Method and apparatus for deposition of metal nitrides
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US10770349B2 (en) * 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US10395896B2 (en) 2017-03-03 2019-08-27 Applied Materials, Inc. Method and apparatus for ion energy distribution manipulation for plasma processing chambers that allows ion energy boosting through amplitude modulation
US10636659B2 (en) 2017-04-25 2020-04-28 Applied Materials, Inc. Selective deposition for simplified process flow of pillar formation
US10763419B2 (en) * 2017-06-02 2020-09-01 Northrop Grumman Systems Corporation Deposition methodology for superconductor interconnects
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US10020183B1 (en) * 2017-06-29 2018-07-10 Lam Research Corporation Edge roughness reduction
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES
US10600688B2 (en) 2017-09-06 2020-03-24 Micromaterials Llc Methods of producing self-aligned vias
US10964590B2 (en) * 2017-11-15 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Contact metallization process
CN107910257B (zh) * 2017-11-15 2021-01-29 上海华力微电子有限公司 Pvd设备的工艺腔的工艺调节结构和方法
EP3718129B8 (en) 2017-11-29 2023-07-19 Comet Technologies USA, Inc Retuning for impedance matching network control
CN110034017A (zh) 2017-12-07 2019-07-19 微材料有限责任公司 用于使金属和阻挡层-衬垫可控凹陷的方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
TW201939628A (zh) 2018-03-02 2019-10-01 美商微材料有限責任公司 移除金屬氧化物的方法
US10892142B2 (en) 2018-03-16 2021-01-12 Samsung Electronics Co., Ltd. System for fabricating a semiconductor device
US11143618B2 (en) * 2018-04-09 2021-10-12 Roche Sequencing Solutions, Inc. Fabrication of tunneling junctions with nanopores for molecular recognition
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
US10867776B2 (en) 2018-05-09 2020-12-15 Applied Materials, Inc. Physical vapor deposition in-chamber electro-magnet
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
US11062887B2 (en) * 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
CN109234699A (zh) * 2018-10-17 2019-01-18 基准精密工业(惠州)有限公司 弧源磁场装置、调节方法及电弧离子镀膜设备
US10985059B2 (en) 2018-11-01 2021-04-20 Northrop Grumman Systems Corporation Preclean and dielectric deposition methodology for superconductor interconnect fabrication
CN113614274A (zh) 2019-03-22 2021-11-05 应用材料公司 用于沉积具有超导膜的多层器件的方法及装置
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11515147B2 (en) * 2019-12-09 2022-11-29 Micron Technology, Inc. Material deposition systems, and related methods
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
TW202137323A (zh) * 2020-01-29 2021-10-01 日商東京威力科創股份有限公司 基板處理方法及基板處理系統
TWI753759B (zh) 2020-02-03 2022-01-21 美商應用材料股份有限公司 具有整合化氮化鋁種晶或波導層的超導奈米線單光子偵測器
TWI780579B (zh) 2020-02-03 2022-10-11 美商應用材料股份有限公司 具有整合化氮化鋁晶種或波導層的超導奈米線單光子偵測器
US11335792B2 (en) 2020-04-06 2022-05-17 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
WO2021206684A1 (en) * 2020-04-06 2021-10-14 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
US11894240B2 (en) 2020-04-06 2024-02-06 Tokyo Electron Limited Semiconductor processing systems with in-situ electrical bias
CN111334842B (zh) * 2020-04-09 2021-06-18 厦门科瑞声科技有限公司 一种pvd电镀悬挂工装结构
US11846013B2 (en) * 2020-07-31 2023-12-19 Applied Materials, Inc. Methods and apparatus for extended chamber for through silicon via deposition
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
CN112795896A (zh) * 2021-04-15 2021-05-14 苏州迈为科技股份有限公司 真空镀膜装置
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
KR20230040703A (ko) * 2021-09-16 2023-03-23 한국알박(주) 자기장 발생 장치 및 이를 포함하는 스퍼터링 장치
CN114178067B (zh) * 2022-01-14 2023-04-28 苏州新维度微纳科技有限公司 纳米压印胶体溅射装置及方法
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3681227A (en) * 1970-06-29 1972-08-01 Corning Glass Works Microcircuit mask and method
US4714536A (en) * 1985-08-26 1987-12-22 Varian Associates, Inc. Planar magnetron sputtering device with combined circumferential and radial movement of magnetic fields
US5362672A (en) * 1988-06-17 1994-11-08 Tadahiro Ohmi Method of forming a monocrystalline film having a closed loop step portion on the substrate
US5728278A (en) * 1990-11-29 1998-03-17 Canon Kabushiki Kaisha/Applied Materials Japan Inc. Plasma processing apparatus

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US104751A (en) * 1870-06-28 Improvement in lathes
US188239A (en) * 1877-03-13 Improvement in machines for finishing horseshoe-nails
US644137A (en) * 1897-09-07 1900-02-27 O H Jewell Filter Company Method of purifying water.
US3461054A (en) * 1966-03-24 1969-08-12 Bell Telephone Labor Inc Cathodic sputtering from a cathodically biased target electrode having an rf potential superimposed on the cathodic bias
DE1904102C3 (de) * 1969-01-28 1978-08-31 Basf Ag, 6700 Ludwigshafen Verfahren zur Herstellung von Haftklebern
US3669871A (en) * 1969-09-10 1972-06-13 Ibm Sputtering apparatus having a concave source cathode
US3661758A (en) * 1970-06-26 1972-05-09 Hewlett Packard Co Rf sputtering system with the anode enclosing the target
JPS5930130B2 (ja) * 1979-09-20 1984-07-25 富士通株式会社 気相成長方法
JPS60119784A (ja) * 1983-12-01 1985-06-27 Kanegafuchi Chem Ind Co Ltd 絶縁金属基板の製法およびそれに用いる装置
US4714546A (en) 1986-02-10 1987-12-22 Newport Filters, Inc. Potable water filter
JPS62287071A (ja) * 1986-06-06 1987-12-12 Tadahiro Omi 薄膜の形成装置および形成方法
JPH0798521B2 (ja) * 1986-08-20 1995-10-25 澁谷工業株式会社 回転式重量充填装置
JP2602276B2 (ja) * 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
JPH06104898B2 (ja) * 1988-01-13 1994-12-21 忠弘 大見 減圧表面処理装置
US4963239A (en) * 1988-01-29 1990-10-16 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
JPH01309965A (ja) * 1988-06-08 1989-12-14 Matsushita Electric Ind Co Ltd マグネトロンスパッタ装置
US4837185A (en) * 1988-10-26 1989-06-06 Intel Corporation Pulsed dual radio frequency CVD process
JPH02182873A (ja) * 1989-01-10 1990-07-17 Seiko Epson Corp 薄膜の製造方法
JP2758948B2 (ja) * 1989-12-15 1998-05-28 キヤノン株式会社 薄膜形成方法
US5252194A (en) * 1990-01-26 1993-10-12 Varian Associates, Inc. Rotating sputtering apparatus for selected erosion
US5320728A (en) * 1990-03-30 1994-06-14 Applied Materials, Inc. Planar magnetron sputtering source producing improved coating thickness uniformity, step coverage and step coverage uniformity
US6545420B1 (en) * 1990-07-31 2003-04-08 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US5126023A (en) * 1990-09-10 1992-06-30 The Board Of Trustees Of The Leland Stanford Junior University End-column electrical and electrochemical detector for capillary zone electrophoresis
DE4042289A1 (de) 1990-12-31 1992-07-02 Leybold Ag Verfahren und vorrichtung zum reaktiven beschichten eines substrats
JP2543642B2 (ja) * 1991-01-18 1996-10-16 アプライド マテリアルズ インコーポレイテッド 高周波交流電気エネルギ―と相対的に低い周波数の交流電気的エネルギ―を有する、工作物を処理するためのシステムおよび方法
WO1992016671A1 (en) 1991-03-20 1992-10-01 Canon Kabushiki Kaisha Method and device for forming film by sputtering process
US6488807B1 (en) * 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6518195B1 (en) * 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
JPH0529254A (ja) * 1991-07-24 1993-02-05 Sony Corp 配線形成方法
US5186492A (en) * 1991-08-08 1993-02-16 Trw Vehicle Safety Systems, Inc. Air bag module
JPH06145975A (ja) * 1992-03-20 1994-05-27 Komag Inc 炭素フィルムをスパタリングする方法及びその製造物
JP2905342B2 (ja) * 1992-09-07 1999-06-14 財団法人国際超電導産業技術研究センター YBa2Cu3Ox超電導薄膜の製造方法
US5486492A (en) * 1992-10-30 1996-01-23 Kawasaki Steel Corporation Method of forming multilayered wiring structure in semiconductor device
US5510011A (en) * 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
US5455197A (en) * 1993-07-16 1995-10-03 Materials Research Corporation Control of the crystal orientation dependent properties of a film deposited on a semiconductor wafer
JP3339597B2 (ja) * 1993-09-03 2002-10-28 アネルバ株式会社 プラズマ処理方法およびプラズマ処理装置
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5639691A (en) * 1995-06-05 1997-06-17 Advanced Micro Devices, Inc. Copper pellet for reducing electromigration effects associated with a conductive via in a semiconductor device
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
US6221217B1 (en) * 1995-07-10 2001-04-24 Cvc, Inc. Physical vapor deposition system having reduced thickness backing plate
US5763851A (en) 1995-11-27 1998-06-09 Applied Materials, Inc. Slotted RF coil shield for plasma deposition system
EP0799903A3 (en) 1996-04-05 1999-11-17 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
EP0841683A3 (en) 1996-10-08 1999-12-01 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6514390B1 (en) * 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US6139697A (en) * 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
JPH10251849A (ja) 1997-03-07 1998-09-22 Tadahiro Omi スパッタリング装置
EP0978138A1 (en) * 1997-04-21 2000-02-09 Tokyo Electron Arizona, Inc. Method and apparatus for ionized sputtering of materials
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
JPH111770A (ja) * 1997-06-06 1999-01-06 Anelva Corp スパッタリング装置及びスパッタリング方法
US6075375A (en) * 1997-06-11 2000-06-13 Applied Materials, Inc. Apparatus for wafer detection
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
TW396384B (en) 1997-08-07 2000-07-01 Applied Materials Inc Modulated power for ionized metal plasma deposition
US5933973A (en) * 1997-09-26 1999-08-10 Fenley, Jr.; Bonnie C. Method and apparatus for assisting in hanging framed pictures and achieving proper alignment
US6168690B1 (en) * 1997-09-29 2001-01-02 Lam Research Corporation Methods and apparatus for physical vapor deposition
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US5986762A (en) * 1998-06-15 1999-11-16 Imation Corp. Optical sensor having optimized surface profile
US6126778A (en) * 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6080285A (en) * 1998-09-14 2000-06-27 Applied Materials, Inc. Multiple step ionized metal plasma deposition process for conformal step coverage
US6117279A (en) * 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
EP1149416A1 (en) 1999-01-08 2001-10-31 Applied Materials, Inc. Method of depositing a copper seed layer which promotes improved feature surface coverage
US6306265B1 (en) 1999-02-12 2001-10-23 Applied Materials, Inc. High-density plasma for ionized metal deposition capable of exciting a plasma wave
US6644137B1 (en) * 1999-06-15 2003-11-11 University Of Puerto Rico Sample probe
US6352620B2 (en) * 1999-06-28 2002-03-05 Applied Materials, Inc. Staged aluminum deposition process for filling vias
US6283357B1 (en) * 1999-08-03 2001-09-04 Praxair S.T. Technology, Inc. Fabrication of clad hollow cathode magnetron sputter targets
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US20030116427A1 (en) * 2001-08-30 2003-06-26 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6193855B1 (en) 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
US6228236B1 (en) * 1999-10-22 2001-05-08 Applied Materials, Inc. Sputter magnetron having two rotation diameters
US20010050220A1 (en) * 1999-11-16 2001-12-13 Applied Materials, Inc. Method and apparatus for physical vapor deposition using modulated power
US6350353B2 (en) 1999-11-24 2002-02-26 Applied Materials, Inc. Alternate steps of IMP and sputtering process to improve sidewall coverage
US6462482B1 (en) * 1999-12-02 2002-10-08 Anelva Corporation Plasma processing system for sputter deposition applications
US6251242B1 (en) * 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6451177B1 (en) * 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6277249B1 (en) * 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6461483B1 (en) * 2000-03-10 2002-10-08 Applied Materials, Inc. Method and apparatus for performing high pressure physical vapor deposition
JP4334723B2 (ja) * 2000-03-21 2009-09-30 新明和工業株式会社 イオンプレーティング成膜装置、及びイオンプレーティング成膜方法。
US6554979B2 (en) * 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
US6627050B2 (en) * 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US7139489B2 (en) * 2000-11-16 2006-11-21 Tyco Telecommunications (Us) Inc. System and method of dispersion compensation in optical communication systems
US20030159925A1 (en) * 2001-01-29 2003-08-28 Hiroaki Sako Spattering device
US6652718B1 (en) * 2001-01-30 2003-11-25 Novellus Systems, Inc. Use of RF biased ESC to influence the film properties of Ti and TiN
US6696360B2 (en) * 2001-03-15 2004-02-24 Micron Technology, Inc. Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
CN100355058C (zh) * 2001-05-04 2007-12-12 东京毅力科创株式会社 具有连续沉积和蚀刻的电离pvd
US7744735B2 (en) * 2001-05-04 2010-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
DE10154229B4 (de) * 2001-11-07 2004-08-05 Applied Films Gmbh & Co. Kg Einrichtung für die Regelung einer Plasmaimpedanz
CN101847598B (zh) * 2001-11-14 2012-06-20 应用材料有限公司 用于溅射和再溅射的自离子化及电感耦合等离子体
US6650353B2 (en) * 2002-04-05 2003-11-18 Agfa Corporation Method and system for focus control in imaging engine with spatial light modulator
US6709553B2 (en) * 2002-05-09 2004-03-23 Applied Materials, Inc. Multiple-step sputter deposition
US20030216035A1 (en) * 2002-05-14 2003-11-20 Applied Materials, Inc. Method and apparatus for sputter deposition
US7247221B2 (en) 2002-05-17 2007-07-24 Applied Films Corporation System and apparatus for control of sputter deposition process
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US7037837B2 (en) * 2004-07-29 2006-05-02 Texas Instruments Incorporated Method of fabricating robust nucleation/seed layers for subsequent deposition/fill of metallization layers
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7399943B2 (en) * 2004-10-05 2008-07-15 Applied Materials, Inc. Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7268076B2 (en) * 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US20060172536A1 (en) * 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3681227A (en) * 1970-06-29 1972-08-01 Corning Glass Works Microcircuit mask and method
US4714536A (en) * 1985-08-26 1987-12-22 Varian Associates, Inc. Planar magnetron sputtering device with combined circumferential and radial movement of magnetic fields
US5362672A (en) * 1988-06-17 1994-11-08 Tadahiro Ohmi Method of forming a monocrystalline film having a closed loop step portion on the substrate
US5728278A (en) * 1990-11-29 1998-03-17 Canon Kabushiki Kaisha/Applied Materials Japan Inc. Plasma processing apparatus

Also Published As

Publication number Publication date
US7804040B2 (en) 2010-09-28
US8512526B2 (en) 2013-08-20
CN1950922A (zh) 2007-04-18
KR101284799B1 (ko) 2013-07-10
CN101124350B (zh) 2012-07-18
KR20130122005A (ko) 2013-11-06
KR20120137447A (ko) 2012-12-20
CN1950922B (zh) 2010-11-10
KR101951726B1 (ko) 2019-02-25
US8062484B2 (en) 2011-11-22
US20060169584A1 (en) 2006-08-03
CN102758171A (zh) 2012-10-31
US20060169576A1 (en) 2006-08-03
KR101376671B1 (ko) 2014-03-20
US20060172536A1 (en) 2006-08-03
US20060169578A1 (en) 2006-08-03
US7244344B2 (en) 2007-07-17
CN101124350A (zh) 2008-02-13
TW200702051A (en) 2007-01-16
US20060169582A1 (en) 2006-08-03
KR20150088331A (ko) 2015-07-31
KR20130038413A (ko) 2013-04-17
US8562798B2 (en) 2013-10-22
KR20180115801A (ko) 2018-10-23
KR101951741B1 (ko) 2019-02-25
US20070193982A1 (en) 2007-08-23
KR20140108314A (ko) 2014-09-05
KR20070101109A (ko) 2007-10-16
KR20120123155A (ko) 2012-11-07
US20060191876A1 (en) 2006-08-31
US20060172517A1 (en) 2006-08-03
US7820020B2 (en) 2010-10-26

Similar Documents

Publication Publication Date Title
CN102758171B (zh) 具有可施加至靶材的射频电源的物理气相沉积等离子体反应器
US10648074B2 (en) Physical vapor deposition with isotropic neutral and non-isotropic ion velocity distribution at the wafer surface
US6375810B2 (en) Plasma vapor deposition with coil sputtering
KR102036950B1 (ko) 플라즈마 처리 방법
CN103180483A (zh) 用于在高深宽比的特征结构中沉积金属的方法
KR100641956B1 (ko) 물리적 증기 증착을 위한 스퍼터 타겟 및 이를 포함한 장치 및 방법
JPH11269643A (ja) 成膜装置およびそれを用いた成膜方法
KR101239776B1 (ko) 타깃에 인가되는 rf 소스 파워에 의한 물리 기상 증착플라즈마 반응기

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant