CN102015524A - 在衬底上形成的半圆柱体阵列的制图外延自我组装 - Google Patents
在衬底上形成的半圆柱体阵列的制图外延自我组装 Download PDFInfo
- Publication number
- CN102015524A CN102015524A CN2009801158611A CN200980115861A CN102015524A CN 102015524 A CN102015524 A CN 102015524A CN 2009801158611 A CN2009801158611 A CN 2009801158611A CN 200980115861 A CN200980115861 A CN 200980115861A CN 102015524 A CN102015524 A CN 102015524A
- Authority
- CN
- China
- Prior art keywords
- block copolymer
- groove
- wetting
- block
- semicylinder
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000003491 array Methods 0.000 title abstract description 3
- 238000001338 self-assembly Methods 0.000 title 1
- 229920001400 block copolymer Polymers 0.000 claims abstract description 177
- 238000000034 method Methods 0.000 claims abstract description 58
- 239000000463 material Substances 0.000 claims description 268
- 238000009736 wetting Methods 0.000 claims description 145
- 239000000758 substrate Substances 0.000 claims description 93
- 229920000642 polymer Polymers 0.000 claims description 72
- 230000007935 neutral effect Effects 0.000 claims description 63
- 238000000137 annealing Methods 0.000 claims description 42
- 229910052710 silicon Inorganic materials 0.000 claims description 27
- 239000004793 Polystyrene Substances 0.000 claims description 25
- 238000005530 etching Methods 0.000 claims description 23
- 229920002223 polystyrene Polymers 0.000 claims description 23
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 20
- 229920000435 poly(dimethylsiloxane) Polymers 0.000 claims description 20
- 239000010703 silicon Substances 0.000 claims description 20
- 229910052751 metal Inorganic materials 0.000 claims description 19
- 239000002184 metal Substances 0.000 claims description 19
- 239000011159 matrix material Substances 0.000 claims description 17
- 239000012298 atmosphere Substances 0.000 claims description 16
- 229920003229 poly(methyl methacrylate) Polymers 0.000 claims description 16
- 239000004926 polymethyl methacrylate Substances 0.000 claims description 16
- 229920001519 homopolymer Polymers 0.000 claims description 14
- 239000002904 solvent Substances 0.000 claims description 13
- -1 oxygen alkane Chemical class 0.000 claims description 10
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 claims description 10
- 239000001301 oxygen Substances 0.000 claims description 8
- 229910052760 oxygen Inorganic materials 0.000 claims description 8
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 claims description 7
- 230000000717 retained effect Effects 0.000 claims description 6
- 229910052782 aluminium Inorganic materials 0.000 claims description 5
- 239000011449 brick Substances 0.000 claims description 5
- 229910052697 platinum Inorganic materials 0.000 claims description 5
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 4
- 239000004411 aluminium Substances 0.000 claims description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 4
- 238000012856 packing Methods 0.000 claims description 4
- 229910052707 ruthenium Inorganic materials 0.000 claims description 4
- 239000010936 titanium Substances 0.000 claims description 4
- RRHGJUQNOFWUDK-UHFFFAOYSA-N Isoprene Natural products CC(=C)C=C RRHGJUQNOFWUDK-UHFFFAOYSA-N 0.000 claims description 3
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 claims description 3
- 239000011651 chromium Substances 0.000 claims description 3
- 230000008021 deposition Effects 0.000 claims description 3
- BCSGKKPVRAKXLL-UHFFFAOYSA-N ethyl(methyl)silane Chemical compound CC[SiH2]C BCSGKKPVRAKXLL-UHFFFAOYSA-N 0.000 claims description 3
- 239000010931 gold Substances 0.000 claims description 3
- 229910010272 inorganic material Inorganic materials 0.000 claims description 3
- 239000011147 inorganic material Substances 0.000 claims description 3
- 229910052750 molybdenum Inorganic materials 0.000 claims description 3
- 239000011733 molybdenum Substances 0.000 claims description 3
- 229920006395 saturated elastomer Polymers 0.000 claims description 3
- 229910052719 titanium Inorganic materials 0.000 claims description 3
- 229910052721 tungsten Inorganic materials 0.000 claims description 3
- KAKZBPTYRLMSJV-UHFFFAOYSA-N vinyl-ethylene Natural products C=CC=C KAKZBPTYRLMSJV-UHFFFAOYSA-N 0.000 claims description 3
- KGIGUEBEKRSTEW-UHFFFAOYSA-N 2-vinylpyridine Chemical compound C=CC1=CC=CC=N1 KGIGUEBEKRSTEW-UHFFFAOYSA-N 0.000 claims description 2
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 claims description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 2
- 229910052804 chromium Inorganic materials 0.000 claims description 2
- 239000003989 dielectric material Substances 0.000 claims description 2
- 239000013536 elastomeric material Substances 0.000 claims description 2
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 claims description 2
- 229910052737 gold Inorganic materials 0.000 claims description 2
- 239000011810 insulating material Substances 0.000 claims description 2
- 229910001092 metal group alloy Inorganic materials 0.000 claims description 2
- 229920000747 poly(lactic acid) Polymers 0.000 claims description 2
- 229940065514 poly(lactide) Drugs 0.000 claims description 2
- 230000001737 promoting effect Effects 0.000 claims description 2
- SCPYDCQAZCOKTP-UHFFFAOYSA-N silanol Chemical compound [SiH3]O SCPYDCQAZCOKTP-UHFFFAOYSA-N 0.000 claims description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 2
- 239000010937 tungsten Substances 0.000 claims description 2
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 claims description 2
- 239000007769 metal material Substances 0.000 claims 3
- 125000000118 dimethyl group Chemical group [H]C([H])([H])* 0.000 claims 2
- 229920003171 Poly (ethylene oxide) Polymers 0.000 claims 1
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 claims 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 claims 1
- 238000000926 separation method Methods 0.000 claims 1
- 229910052720 vanadium Inorganic materials 0.000 claims 1
- 229910052725 zinc Inorganic materials 0.000 claims 1
- 239000011701 zinc Substances 0.000 claims 1
- 229910052726 zirconium Inorganic materials 0.000 claims 1
- 239000010410 layer Substances 0.000 description 51
- 239000012071 phase Substances 0.000 description 25
- 229920005604 random copolymer Polymers 0.000 description 25
- 239000000126 substance Substances 0.000 description 24
- 238000005516 engineering process Methods 0.000 description 20
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 19
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Natural products C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 description 18
- 229920001577 copolymer Polymers 0.000 description 16
- CXQXSVUQTKDNFP-UHFFFAOYSA-N octamethyltrisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)O[Si](C)(C)C CXQXSVUQTKDNFP-UHFFFAOYSA-N 0.000 description 16
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 15
- 239000004205 dimethyl polysiloxane Substances 0.000 description 15
- 235000013870 dimethyl polysiloxane Nutrition 0.000 description 15
- 238000004987 plasma desorption mass spectroscopy Methods 0.000 description 15
- 238000000206 photolithography Methods 0.000 description 14
- 229920000359 diblock copolymer Polymers 0.000 description 12
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 11
- 230000015572 biosynthetic process Effects 0.000 description 10
- 229910052742 iron Inorganic materials 0.000 description 9
- 230000003647 oxidation Effects 0.000 description 9
- 238000007254 oxidation reaction Methods 0.000 description 9
- ZMXDDKWLCZADIW-UHFFFAOYSA-N N,N-Dimethylformamide Chemical compound CN(C)C=O ZMXDDKWLCZADIW-UHFFFAOYSA-N 0.000 description 6
- 239000000654 additive Substances 0.000 description 6
- 230000000996 additive effect Effects 0.000 description 6
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 6
- 239000001257 hydrogen Substances 0.000 description 6
- 229910052739 hydrogen Inorganic materials 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- 239000000178 monomer Substances 0.000 description 6
- 239000002243 precursor Substances 0.000 description 6
- 239000004065 semiconductor Substances 0.000 description 6
- 239000000243 solution Substances 0.000 description 6
- 238000004528 spin coating Methods 0.000 description 6
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 5
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 5
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical group C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 5
- 238000010438 heat treatment Methods 0.000 description 5
- 229910052809 inorganic oxide Inorganic materials 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 238000001020 plasma etching Methods 0.000 description 5
- 239000000377 silicon dioxide Substances 0.000 description 5
- QGHDLJAZIIFENW-UHFFFAOYSA-N 4-[1,1,1,3,3,3-hexafluoro-2-(4-hydroxy-3-prop-2-enylphenyl)propan-2-yl]-2-prop-2-enylphenol Chemical group C1=C(CC=C)C(O)=CC=C1C(C(F)(F)F)(C(F)(F)F)C1=CC=C(O)C(CC=C)=C1 QGHDLJAZIIFENW-UHFFFAOYSA-N 0.000 description 4
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 4
- UQSXHKLRYXJYBZ-UHFFFAOYSA-N Iron oxide Chemical compound [Fe]=O UQSXHKLRYXJYBZ-UHFFFAOYSA-N 0.000 description 4
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 4
- 125000004429 atom Chemical group 0.000 description 4
- 229910000040 hydrogen fluoride Inorganic materials 0.000 description 4
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 4
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 description 3
- 239000002253 acid Substances 0.000 description 3
- 150000004703 alkoxides Chemical class 0.000 description 3
- 239000007864 aqueous solution Substances 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 230000000536 complexating effect Effects 0.000 description 3
- 238000004132 cross linking Methods 0.000 description 3
- 229920001971 elastomer Polymers 0.000 description 3
- 239000012634 fragment Substances 0.000 description 3
- 230000009477 glass transition Effects 0.000 description 3
- 229910052749 magnesium Inorganic materials 0.000 description 3
- 239000011777 magnesium Substances 0.000 description 3
- QEFYFXOXNSNQGX-UHFFFAOYSA-N neodymium atom Chemical compound [Nd] QEFYFXOXNSNQGX-UHFFFAOYSA-N 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 150000003839 salts Chemical class 0.000 description 3
- 239000002344 surface layer Substances 0.000 description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 3
- MYRTYDVEIRVNKP-UHFFFAOYSA-N 1,2-Divinylbenzene Chemical compound C=CC1=CC=CC=C1C=C MYRTYDVEIRVNKP-UHFFFAOYSA-N 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- 241000446313 Lamella Species 0.000 description 2
- WHXSMMKQMYFTQS-UHFFFAOYSA-N Lithium Chemical compound [Li] WHXSMMKQMYFTQS-UHFFFAOYSA-N 0.000 description 2
- CERQOIWHTDAKMF-UHFFFAOYSA-M Methacrylate Chemical compound CC(=C)C([O-])=O CERQOIWHTDAKMF-UHFFFAOYSA-M 0.000 description 2
- 229910052779 Neodymium Inorganic materials 0.000 description 2
- 239000005062 Polybutadiene Substances 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 239000000806 elastomer Substances 0.000 description 2
- 238000000407 epitaxy Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 238000011010 flushing procedure Methods 0.000 description 2
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 2
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 229910052744 lithium Inorganic materials 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 239000012702 metal oxide precursor Substances 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 238000006385 ozonation reaction Methods 0.000 description 2
- 229910052763 palladium Inorganic materials 0.000 description 2
- 230000000737 periodic effect Effects 0.000 description 2
- 229920002857 polybutadiene Polymers 0.000 description 2
- 239000002861 polymer material Substances 0.000 description 2
- 238000006116 polymerization reaction Methods 0.000 description 2
- 229920001709 polysilazane Polymers 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 229920005573 silicon-containing polymer Polymers 0.000 description 2
- PUZPDOWCWNUUKD-UHFFFAOYSA-M sodium fluoride Inorganic materials [F-].[Na+] PUZPDOWCWNUUKD-UHFFFAOYSA-M 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 239000011343 solid material Substances 0.000 description 2
- 238000005507 spraying Methods 0.000 description 2
- 230000008961 swelling Effects 0.000 description 2
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 description 2
- 239000005052 trichlorosilane Substances 0.000 description 2
- 229920002818 (Hydroxyethyl)methacrylate Polymers 0.000 description 1
- SCYULBFZEHDVBN-UHFFFAOYSA-N 1,1-Dichloroethane Chemical class CC(Cl)Cl SCYULBFZEHDVBN-UHFFFAOYSA-N 0.000 description 1
- YUJCWMGBRDBPDL-UHFFFAOYSA-N 4,4-dimethylpiperidine-2,6-dione Chemical compound CC1(C)CC(=O)NC(=O)C1 YUJCWMGBRDBPDL-UHFFFAOYSA-N 0.000 description 1
- DDFHBQSCUXNBSA-UHFFFAOYSA-N 5-(5-carboxythiophen-2-yl)thiophene-2-carboxylic acid Chemical compound S1C(C(=O)O)=CC=C1C1=CC=C(C(O)=O)S1 DDFHBQSCUXNBSA-UHFFFAOYSA-N 0.000 description 1
- QTBSBXVTEAMEQO-UHFFFAOYSA-M Acetate Chemical compound CC([O-])=O QTBSBXVTEAMEQO-UHFFFAOYSA-M 0.000 description 1
- 241001502050 Acis Species 0.000 description 1
- NIXOWILDQLNWCW-UHFFFAOYSA-M Acrylate Chemical compound [O-]C(=O)C=C NIXOWILDQLNWCW-UHFFFAOYSA-M 0.000 description 1
- 241000345998 Calamus manan Species 0.000 description 1
- PMPVIKIVABFJJI-UHFFFAOYSA-N Cyclobutane Chemical compound C1CCC1 PMPVIKIVABFJJI-UHFFFAOYSA-N 0.000 description 1
- IAYPIBMASNFSPL-UHFFFAOYSA-N Ethylene oxide Chemical compound C1CO1 IAYPIBMASNFSPL-UHFFFAOYSA-N 0.000 description 1
- 241000264877 Hippospongia communis Species 0.000 description 1
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 description 1
- WOBHKFSMXKNTIM-UHFFFAOYSA-N Hydroxyethyl methacrylate Chemical compound CC(=C)C(=O)OCCO WOBHKFSMXKNTIM-UHFFFAOYSA-N 0.000 description 1
- WAEMQWOKJMHJLA-UHFFFAOYSA-N Manganese(2+) Chemical compound [Mn+2] WAEMQWOKJMHJLA-UHFFFAOYSA-N 0.000 description 1
- VVQNEPGJFQJSBK-UHFFFAOYSA-N Methyl methacrylate Chemical compound COC(=O)C(C)=C VVQNEPGJFQJSBK-UHFFFAOYSA-N 0.000 description 1
- JOOMLFKONHCLCJ-UHFFFAOYSA-N N-(trimethylsilyl)diethylamine Chemical compound CCN(CC)[Si](C)(C)C JOOMLFKONHCLCJ-UHFFFAOYSA-N 0.000 description 1
- JVZKVVDFQLHZBY-UHFFFAOYSA-N N1[SiH2]N[SiH2]N[SiH2]N[SiH2]1 Chemical compound N1[SiH2]N[SiH2]N[SiH2]N[SiH2]1 JVZKVVDFQLHZBY-UHFFFAOYSA-N 0.000 description 1
- VEQPNABPJHWNSG-UHFFFAOYSA-N Nickel(2+) Chemical compound [Ni+2] VEQPNABPJHWNSG-UHFFFAOYSA-N 0.000 description 1
- 229910020486 P2VP Inorganic materials 0.000 description 1
- 229920000390 Poly(styrene-block-methyl methacrylate) Polymers 0.000 description 1
- 229920000265 Polyparaphenylene Polymers 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910020175 SiOH Inorganic materials 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- GJWAPAVRQYYSTK-UHFFFAOYSA-N [(dimethyl-$l^{3}-silanyl)amino]-dimethylsilicon Chemical compound C[Si](C)N[Si](C)C GJWAPAVRQYYSTK-UHFFFAOYSA-N 0.000 description 1
- 125000003277 amino group Chemical group 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 238000012661 block copolymerization Methods 0.000 description 1
- GCXYGTYQHDSNHG-UHFFFAOYSA-N butan-1-ol;niobium Chemical compound [Nb].CCCCO GCXYGTYQHDSNHG-UHFFFAOYSA-N 0.000 description 1
- XGZGKDQVCBHSGI-UHFFFAOYSA-N butyl(triethoxy)silane Chemical compound CCCC[Si](OCC)(OCC)OCC XGZGKDQVCBHSGI-UHFFFAOYSA-N 0.000 description 1
- 210000004899 c-terminal region Anatomy 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 238000005266 casting Methods 0.000 description 1
- NEUSVAOJNUQRTM-UHFFFAOYSA-N cetylpyridinium Chemical class CCCCCCCCCCCCCCCC[N+]1=CC=CC=C1 NEUSVAOJNUQRTM-UHFFFAOYSA-N 0.000 description 1
- 238000005253 cladding Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 229920006037 cross link polymer Polymers 0.000 description 1
- 239000003431 cross linking reagent Substances 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 150000001993 dienes Chemical class 0.000 description 1
- 238000004043 dyeing Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000004945 emulsification Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 150000002148 esters Chemical class 0.000 description 1
- PELSHPGCRZMBNK-UHFFFAOYSA-N ethanol molybdenum Chemical compound C(C)O.[Mo] PELSHPGCRZMBNK-UHFFFAOYSA-N 0.000 description 1
- PNCDAXYMWHXGON-UHFFFAOYSA-N ethanol;niobium Chemical compound [Nb].CCO.CCO.CCO.CCO.CCO PNCDAXYMWHXGON-UHFFFAOYSA-N 0.000 description 1
- DRTMJRUYUMAHMS-UHFFFAOYSA-N ethyl(methyl)silicon Chemical compound CC[Si]C DRTMJRUYUMAHMS-UHFFFAOYSA-N 0.000 description 1
- 239000000945 filler Substances 0.000 description 1
- 229910021505 gold(III) hydroxide Inorganic materials 0.000 description 1
- 229920000578 graft copolymer Polymers 0.000 description 1
- UQEAIHBTYFGYIE-UHFFFAOYSA-N hexamethyldisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)C UQEAIHBTYFGYIE-UHFFFAOYSA-N 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 230000005660 hydrophilic surface Effects 0.000 description 1
- 230000005661 hydrophobic surface Effects 0.000 description 1
- MRNHPUHPBOKKQT-UHFFFAOYSA-N indium;tin;hydrate Chemical compound O.[In].[Sn] MRNHPUHPBOKKQT-UHFFFAOYSA-N 0.000 description 1
- 230000008595 infiltration Effects 0.000 description 1
- 238000001764 infiltration Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000010550 living polymerization reaction Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 230000005499 meniscus Effects 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 229910000476 molybdenum oxide Inorganic materials 0.000 description 1
- KAHVZNKZQFSBFW-UHFFFAOYSA-N n-methyl-n-trimethylsilylmethanamine Chemical compound CN(C)[Si](C)(C)C KAHVZNKZQFSBFW-UHFFFAOYSA-N 0.000 description 1
- 239000002086 nanomaterial Substances 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 239000003960 organic solvent Substances 0.000 description 1
- 229910000489 osmium tetroxide Inorganic materials 0.000 description 1
- 239000012285 osmium tetroxide Substances 0.000 description 1
- 150000002924 oxiranes Chemical group 0.000 description 1
- PQQKPALAQIIWST-UHFFFAOYSA-N oxomolybdenum Chemical compound [Mo]=O PQQKPALAQIIWST-UHFFFAOYSA-N 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 239000012466 permeate Substances 0.000 description 1
- 238000000819 phase cycle Methods 0.000 description 1
- 238000001259 photo etching Methods 0.000 description 1
- 238000006303 photolysis reaction Methods 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 229920003217 poly(methylsilsesquioxane) Polymers 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920000307 polymer substrate Polymers 0.000 description 1
- 229920000193 polymethacrylate Polymers 0.000 description 1
- 229920006389 polyphenyl polymer Polymers 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 229920000734 polysilsesquioxane polymer Polymers 0.000 description 1
- 229920002635 polyurethane Polymers 0.000 description 1
- 239000004814 polyurethane Substances 0.000 description 1
- 230000035935 pregnancy Effects 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 1
- 238000010526 radical polymerization reaction Methods 0.000 description 1
- 235000012950 rattan cane Nutrition 0.000 description 1
- 230000003252 repetitive effect Effects 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 239000010948 rhodium Substances 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- 239000005060 rubber Substances 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- 238000002791 soaking Methods 0.000 description 1
- 238000007614 solvation Methods 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- 239000004094 surface-active agent Substances 0.000 description 1
- JBQYATWDVHIOAR-UHFFFAOYSA-N tellanylidenegermanium Chemical compound [Te]=[Ge] JBQYATWDVHIOAR-UHFFFAOYSA-N 0.000 description 1
- 125000003698 tetramethyl group Chemical group [H]C([H])([H])* 0.000 description 1
- VXUYXOFXAQZZMF-UHFFFAOYSA-N titanium(IV) isopropoxide Chemical compound CC(C)O[Ti](OC(C)C)(OC(C)C)OC(C)C VXUYXOFXAQZZMF-UHFFFAOYSA-N 0.000 description 1
- 229920000428 triblock copolymer Polymers 0.000 description 1
- 238000001291 vacuum drying Methods 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
- 230000010148 water-pollination Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C1/00—Manufacture or treatment of devices or systems in or on a substrate
- B81C1/00015—Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
- B81C1/00023—Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
- B81C1/00031—Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y30/00—Nanotechnology for materials or surface science, e.g. nanocomposites
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0002—Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02118—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/02227—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
- H01L21/0223—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2201/00—Manufacture or treatment of microstructural devices or systems
- B81C2201/01—Manufacture or treatment of microstructural devices or systems in or on a substrate
- B81C2201/0101—Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
- B81C2201/0147—Film patterning
- B81C2201/0149—Forming nanoscale microstructures using auto-arranging or self-assembling material
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2201/00—Manufacture or treatment of microstructural devices or systems
- B81C2201/01—Manufacture or treatment of microstructural devices or systems in or on a substrate
- B81C2201/0198—Manufacture or treatment of microstructural devices or systems in or on a substrate for making a masking layer
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/942—Masking
- Y10S438/947—Subphotolithographic processing
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S977/00—Nanotechnology
- Y10S977/84—Manufacture, treatment, or detection of nanostructure
- Y10S977/888—Shaping or removal of materials, e.g. etching
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S977/00—Nanotechnology
- Y10S977/84—Manufacture, treatment, or detection of nanostructure
- Y10S977/895—Manufacture, treatment, or detection of nanostructure having step or means utilizing chemical property
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S977/00—Nanotechnology
- Y10S977/84—Manufacture, treatment, or detection of nanostructure
- Y10S977/90—Manufacture, treatment, or detection of nanostructure having step or means utilizing mechanical or thermal property, e.g. pressure, heat
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24174—Structurally defined web or sheet [e.g., overall dimension, etc.] including sheet or component perpendicular to plane of web or sheet
- Y10T428/24182—Inward from edge of web or sheet
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24479—Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
- Y10T428/24521—Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness with component conforming to contour of nonplanar surface
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24479—Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
- Y10T428/24612—Composite web or sheet
- Y10T428/2462—Composite web or sheet with partial filling of valleys on outer surface
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/249921—Web or sheet containing structurally defined element or component
Abstract
本发明提供利用自我组装嵌段共聚物制造呈成列阵列的亚光刻纳米级微结构的方法以及自所述方法形成的膜和装置。
Description
技术领域
本发明各实施例涉及制造自我组装嵌段共聚物薄膜的方法和自所述方法产生的装置。
背景技术
随着纳米级机械、电力、化学和生物装置和系统的发展,业内需要新颖方法和材料来制造纳米级装置和组件。随着半导体特征的尺寸缩小到通过习用光刻术不能容易地达成的大小,与导线进行电接触已变成一个重大挑战。光学光刻处理方法难以制造亚60纳米级的结构和特征。自我组装二嵌段共聚物的使用为以纳米级尺寸进行图案化提供了另一途径。二嵌段共聚物膜可通过聚合物构成嵌段在退火后(例如,通过在高于所述聚合物的玻璃转化温度时实施热退火或通过溶剂退火)发生微相分离而自发地组装成周期性结构,从而以纳米级尺寸形成有序结构域。
可通过二嵌段共聚物中AB嵌段的分子量和体积分率来控制膜形态(包括微相分离结构域的大小和形状)以主要产生片层状、圆柱体或球形形态。例如,如果二嵌段聚合物两个嵌段(AB)的体积分率大于约80∶20的比率,则嵌段共聚物膜可微相分离并自我组装成周期性球形结构域,其中聚合物A基质包围聚合物B球体。如果两嵌段的比率介于约60∶40与80∶20间,则所述二嵌段共聚物组装成周期性六方形密堆积或蜂窝状阵列,其中聚合物B圆柱体位于聚合物A基质内。如果比率介于约50∶50与60∶40之间,则所述嵌段可形成片层状结构域或交替条纹。结构域大小通常介于5-50nm之间。
业内已使用片层相嵌段共聚物材料在衬底上制造成列特征。然而,与片层结构相比,圆柱体自我组装更快并且修正缺陷更快。研究者已报导,通过使圆柱体相形态的嵌段共聚物在化学中性表面上自我组装来使嵌段共聚物中次要嵌段在主要嵌段基质中产生向上半圆柱体列。在移除基质材料后,所述半圆柱体在下伏衬底上形成遮蔽结构。然而,后续蚀刻往往会底切并各向同性地蚀刻保留在半圆柱体列下的基质材料,从而对衬底的蚀刻分辨率造成负面影响。用于在半导体系统的下伏衬底中形成若干结构的应用需要用于形成接触的元件、导线和/或诸如DRAM电容器等其它元件的复杂布局。
提供制造可克服这些问题的有序纳米结构成列阵列膜的方法可为有用的。
附图说明
下文参照以下附图来阐述本发明各实施例,所述各图仅用于说明目的。对于所有以下视图,在图中都会使用参考数字并且在若干视图和说明书中使用相同参考数字来指示相同或类似部件。
图1展示一部分衬底在本揭示内容一实施例的初步处理阶段中的图示性俯视平面图,其显示衬底上具有中性润湿材料。图1A-1B是图1中所绘示衬底分别沿线1A-1A和1B-1B绘制的横截面正视图。
图2展示图1中衬底在后续阶段中的图示性俯视平面图,其显示在中性润湿材料上形成的材料层中形成沟槽。图2A-2B展示图2中所绘示衬底的一部分分别沿线2A-2A和2B-2B绘制的横截面正视图。
图3展示一部分衬底在本揭示内容另一实施例的初步处理阶段中的侧面正视图,其显示衬底具有在所述衬底上形成的材料层中形成的沟槽。图4展示图3的衬底在后续阶段的侧面正视图,其显示在沟槽内形成中性润湿材料。
图5是图2中衬底在后续阶段中按照本揭示内容一实施例于沟槽内制造自我组装圆柱体相嵌段共聚物膜时的图示性俯视平面图。图5A-5B展示图5中所绘示衬底部分分别沿线5A-5A和5B-5B绘制的横截面正视图。
图6是在图5B中所绘示衬底在后续阶段中的视图,其显示在沟槽内将优先润湿材料定位于嵌段共聚物材料上方。
图7是在图6中所示衬底在后续阶段中的俯视平面图,其显示在沟槽内自我组装嵌段共聚物材料表面上方优先润湿材料的剖视图。图7A-7B展示图7中所绘示衬底分别沿线7A-7A和7B-7B绘制的横截面视图。图7C是图7A中所示衬底沿线7C-7C绘制的横截面的俯视平面图,其显示在沟槽内聚合物基质内的自我组装半圆柱体行。
图8是图7B中所绘示衬底在后续阶段中的视图,其显示在按照本揭示内容一实施例从自我组装嵌段共聚物材料表面移除优先润湿材料。
图9是图7中所示衬底在后续阶段中的俯视图,其显示移除沟槽内自我组装嵌段共聚物材料的一种聚合物结构域。图9A-9B展示图9中所绘示衬底分别沿线9A-9A和9B-9B绘制的横截面视图。
图10-11是图9中的衬底在后续阶段中的俯视平面图,其展示一实施例,其中在移除一种聚合物嵌段后使用自我组装嵌段共聚物膜作为遮罩来蚀刻所述衬底并填充经蚀刻开孔。图10A-11A展示图10-11中所绘示衬底的一部分分别沿线10A-10和11A-11A绘制的横截面正视图。图10B-11B是图10-11中所绘示衬底分别沿线10B-10B和11B-11B绘制的横截面视图。
图12是图5A中所绘示衬底在后续阶段中的视图,其显示依据本发明另一实施例在沟槽内将优先润湿气氛施加至嵌段共聚物材料上。
图13是图12中所示衬底在后续阶段中的俯视平面图,其显示在沟槽内在自我组装嵌段共聚物材料表面上的优先润湿刷层。图13A-13B展示图13中所绘示衬底分别沿线13A-13A和13B-13B绘制的横截面视图。
具体实施方式
以下说明参照附图提供本发明各实施例中装置和方法的说明性实例。所述说明仅用于阐释性目的并且不用于限制本发明的目的。
在本申请案的上下文中,术语“半导体衬底”或“半导电性衬底”或“半导电性晶片碎片”或“晶片碎片”或“晶片”应理解为意指包含半导体材料(包括但不限于体型半导电性材料)的任一构造,例如,半导体晶片(单独或其上包含其它材料的组合件)和半导电性材料层(单独或包含其它材料的组合件)。术语“衬底”是指任一支承结构,包括但不限于上述半导电性衬底、晶片碎片或晶片。
本文所用“Lo”是在自我组装(SA)嵌段共聚物退火后自我组装的结构的固有周期性或间距值(体相周期或重复单元)。本文所用“LB”是嵌段共聚物与其一或多种构成均聚物的掺合物的周期性或间距值。本文所用“L”指示嵌段共聚物或掺合物的中心至中心圆柱体间距或圆柱体间隔,并且对于纯嵌段共聚物来说等效于“Lo”,并且对于共聚物掺合物来说等效于“LB”。
在本发明的实施例中,通过嵌段共聚物的经引导自我组装来制备聚合物材料(例如,膜、层),其中两种聚合物结构域都润湿与沟槽底面的界面。嵌段共聚物材料可通过聚合物构成嵌段在退火后进行微相分离而自发地组装成周期性结构,从而以纳米级尺寸形成有序结构域。在本发明各实施例中,在沟槽内形成一或多行或者一或多列平行定向半圆柱体,成列半圆柱体的面润湿化学中性沟槽底面。在自我组装后,随后可使用在衬底上形成的平行定向列作为(例如)蚀刻遮罩来通过选择性移除自我组装嵌段共聚物的一种嵌段而在下伏衬底上形成纳米级成列开孔图案。由于此方法中所涉及的结构域大小和周期(L)取决于嵌段共聚物的链长度(MW),因此分辨率可优于诸如习用光学光刻术等其它技术。使用所述技术的加工成本远远低于具有相当分辨率的远紫外线(EUV)光学光刻术。
图1-9中绘示根据本发明一实施例制造可界定纳米级平行定向的面朝下半圆柱体成列阵列的自我组装嵌段共聚物材料的方法。
所述实施例涉及圆柱体相嵌段共聚物的退火以及制图外延技术,其采用光刻界定的沟槽作为引导,所述沟槽具有由对两种聚合物嵌段呈中性润湿的材料构成的底面,和优先润湿一种聚合物嵌段并用作约束来引导嵌段共聚物材料自我组装的侧壁和端部。在某些实施例中,将优先润湿性覆盖材料层置于沟槽中的嵌段共聚物材料上。在其它实施例中,空气界面可选择性地润湿期望嵌段。在退火后,嵌段共聚物材料会在聚合物基质中自我组装成一或多行或者一或多列半圆柱体并与侧壁对齐,所述半圆柱体的面向下定向并润湿沟槽底面。在某些实施例中,可在每一沟槽中形成两行或更多行半圆柱体的有序阵列。
如图1-1B中所绘示,提供衬底10,其材料尤其可为硅、氧化硅、氮化硅、氧氮化硅、氧碳化硅。
在所示实施例中,已在衬底10上形成中性润湿材料12(例如,无规共聚物、官能化均聚物的掺合物等)。随后可在中性润湿材料上形成材料层14(或一或多个材料层)并经蚀刻以形成沟槽16、16a,如图2-2B中所示。材料层14的各部分在沟槽外部和沟槽之间形成间隔体18。沟槽16、16a经结构化而具有相对侧壁20、相对端部22、底面24、宽度(wt、wt2)、长度(lt)和深度(Dt)。
在图3-4所示另一实施例中,可在衬底10′上形成材料层14′,其经蚀刻形成沟槽16’、16a’,并且随后可在沟槽底面24′上形成中性润湿材料12′。例如,可使无规共聚物材料沉积于沟槽中并发生交联或接枝以形成中性润湿材料层。之后可移除沟槽外部表面上(例如,间隔体18′上)的材料(例如,未经交联的无规共聚物)。
可使用暴露系统能以L(例如,约10-100nm)级别进行图案化的光刻工具来形成单个或多个沟槽16、16a(如图所示)。所述暴露系统包括(例如)如业内已知和使用的远紫外光(EUV)光刻术、近接X射线和电子束(e-beam)光刻术。习用光学光刻术可获得(最小)约58nm的特征。
也可使用称为“间距重复”或“间距倍增”的方法来使光学光刻技术的能力扩展超越其最小间距,如(例如)美国专利第5,328,810号(劳瑞(Lowrey)等人)、美国专利第7,115,525号(爱博伽吾(Abatchev)等人)、US 2006/0281266(威尔斯(Wells))和US 2007/00238065(威尔斯)中所述。简单来说,在覆盖在可消耗材料层上面的光阻剂材料中以光学光刻方式形成成列图案,所述可消耗材料继而覆盖在衬底上面,所述可消耗材料层经蚀刻以形成预留位或心轴,剥离光阻剂,在所述心轴侧面上形成间隔体,并且随后移除心轴,从而留下间隔体作为图案化衬底的遮罩。因此,如果初始光学光刻术形成界定一个特征和一个间隔的图案,则现在相同宽度可界定两个特征和两个间隔,其中所述间隔体界定所述间隔。因此,可将光学光刻技术可能形成的最小特征大小有效地减小至约30nm或更小。
在沟槽内形成单列或多列平行定向半圆柱体的因素包括沟槽宽度(wt)、拟达成期望间距(L)的嵌段共聚物或掺合物的组成、和嵌段共聚物材料的厚度(t)。
随着沟槽宽度减小(例如,自wt2至wt)和/或嵌段共聚物的周期性(L值)增加(例如通过增加两种构成均聚物来形成三元掺合物),半圆柱体列会自两行转变成一行。沟槽侧壁22在x轴和y轴上的边界条件产生每一沟槽含有“n”个特征(例如,n列半圆柱体)的结构。
在所示实施例中,沟槽16经构造具有约为嵌段共聚物1.5-2*L(或1.5-2X间距值)的宽度(wt),以使得约L的浇注嵌段共聚物材料(或掺合物)可在退火后自我组装成单列平行定向的面朝下半圆柱体(列宽度为或约为0.5*L),其沿每一沟槽16的中心与侧壁对齐。已形成相对较宽的沟槽16a,其宽度(wt2)为(n+1)*L以使得约L的嵌段共聚物材料(或掺合物)可自我组装成n列面朝下半圆柱体(列宽度约为0.5*L),相邻各列的中心-中心间距距离(p)为或约为嵌段共聚物材料的L值。例如,较宽沟槽16a的宽度(wt2)可为约3-65*L,以分别形成2-64行面朝下半圆柱体。
例如,在宽度(wt)为约1.5-2*50nm或约75-100nm的沟槽16中使用间距值或L为约50nm的圆柱体相嵌段共聚物时,嵌段共聚物材料会形成列宽度为约25nm的单一面朝下半圆柱体。在宽度(wt2)为(例如)约3*L或约3*50nm(或约150nm)的沟槽16a中,嵌段共聚物材料会形成2行半圆柱体结构(列宽度约为25nm),并且相邻半圆柱体行的中心-中心间距距离(p)约为L值(约50nm)。
在另一实例中,间距或L值为35nm的圆柱体相嵌段共聚物或掺合物可在宽度(wt)约为1.5-2*L或宽约52.5-70nm的沟槽16中形成单列宽度约17.5nm(约0.5*L)的面朝下半圆柱体,并且可在宽度(wt2)约为3*L或约为3*35nm(或约为105nm)的沟槽16a中形成两列平行的半圆柱体(各自宽约17.5nm,中心-中心间距距离(p)为约35nm)。
沟槽16、16a的长度(lt)与半圆柱体列的期望长度一致。
沟槽的深度(Dt)可有效引导嵌段共聚物材料在退火期间横向排序。在本发明实施例中,沟槽深度可等于或小于嵌段共聚物材料的最终厚度(t2)(Dt≤t2),从而可使弯月面的形成和嵌段共聚物材料横跨沟槽宽度的厚度差异最小化。在某些实施例中,沟槽深度比嵌段共聚物材料在沟槽内的最终厚度(t2)小约三分之二或约四分之三(2/3-3/4,或约67%-75%)。
在某些实施例中,沟槽16、16a的尺寸为:宽度为约20-100nm(沟槽16,wt)和约20-3200nm(沟槽16a,wt2),长度(lt)为约100-25000μm且深度(Dt)为约10-100nm 。
如图5-5B所绘示,使固有间距为或约为Lo的自我组装圆柱体相嵌段共聚物材料26(或经掺和以使间距为或约为LB的嵌段共聚物与均聚物的三元掺合物)沉积于沟槽16、16a内。可在沟槽外(例如,在间隔体18上)的材料层14上沉积形成嵌段共聚物材料薄层26a。
嵌段共聚物或掺合物经构造以使所有聚合物嵌段对沟槽底面上中性润湿材料具有均等优先性。在本发明某些实施例中,嵌段共聚物或掺合物经构造以使得可可选择性地移除主要结构域。在其它实施例中,可将次要结构域聚合物嵌段选择性地掺杂或结构化以在退火期间将无机组份或物质(例如,填充剂组份)纳入微相结构域中,所述无机组份或物质在选择性地移除主要聚合物结构域或(在某些实施例中)移除主要和次要聚合物结构域二者后了作为抗蚀剂材料(例如,遮罩)保留在衬底上。适宜无机前体在退火温度下热稳定且不会挥发。
纳入无机物质的嵌段共聚物可通过业内已知技术来制备,例如直接合成技术,或通过与一种聚合物嵌段的反应性基团络合或配合来纳入无机物质的原子。
例如,如USP 6,565,763(武藤(Asakawa)等人)中所述,可将嵌段共聚物与可分散(segregate)至一个聚合物相中的无机耐热性材料或其前体掺和在一起,所述无机耐热性材料或其前体可为(例如)金属盐、金属氧化物凝胶、金属烷氧化物聚合物、金属氧化物前体、金属氮化物前体和金属微细粒子。金属的实例尤其包括硅(Si)、铬(Cr)、钛(Ti)、铝(Al)、钼(Mo)、金(Au)、铂(Pt)、钌(Ru)、锆(Zr)、钨(W)、钒(V)、铅(Pb)、和锌(Zn)。
金属烷氧化物的实例包括烷氧基硅烷(例如四甲氧基硅烷、四乙氧基硅烷、四异丙氧基硅烷、四异丙氧基铝和四异丙氧基钛)和烷基烷氧基硅烷(例如丁基三乙氧基硅烷和丙基三乙氧基铝)。金属烷氧化物聚合物的实例是聚二乙氧基硅氧烷。
金属氧化物前体或金属氮化物前体的实例包括聚倍半硅氧烷(例如,聚甲基倍半硅氧烷、聚甲基羟基倍半硅氧烷、聚苯基倍半硅氧烷等)、多面体倍半硅氧烷寡聚物(POSS)、和聚硅氮烷。
在某些实施例中,可将嵌段共聚物溶液与诸如有机金属盐等添加剂组合,所述添加剂对所述嵌段共聚物中的一种聚合物链具有高亲和力并且在退火期间会分散至一个聚合物相中。例如,嵌段共聚物可与金属盐以及有机化合物混合。所述有机金属盐的实例尤其包括2,4-戊二酮酸锂、四甲基戊二酮酸锂、2,4-戊二酮酸钌、2,4-戊二酮酸镁、六氟戊二酮酸镁、三氟戊二酮酸镁、2,4-戊二酮酸锰(II)、乙醇钼(V)、双(2,4-戊二酮酸)氧化钼(VI)、6,6,7,7,8,8,8-七氟-2,2-二甲基-3.5-辛二酮酸钕、六氟戊二酮酸钕、2,4-戊二酮酸钕(III)、2,4-戊二酮酸镍(II)、正丁醇铌(V)、正乙醇铌(V)、六氟戊二酮酸钯、2,4-戊二酮酸钯、六氟戊二酮酸铂、2,4-戊二酮酸铂、三氟戊二酮酸铑、2,4-戊二酮酸钌(III)、六氯铂酸四丁基铵(IV)、四溴金酸(III)十六烷基吡啶鎓盐。
如US 2007/0222995和US 2007/0289943(鲁(Lu);安捷伦科技(Agilent Technologies)公司)中所述,可通过使无机物质的原子与聚(苯乙烯)-b-聚(乙烯基吡啶)(PS-b-PVP)的吡啶单元络合来将诸如金属(例如,铁、钴、钼等)等无机物质的原子纳入二嵌段共聚物的一个嵌段中,其中吡啶基团与无机物质(例如,铁(Fe)等)形成配位键或形成共轭酸碱对。作为共轭酸碱对的实例,PS-b-PVP嵌段共聚物溶液可与二氢六氯铂酸盐(H2PtCl6)组合,其中单一Pt原子可与各吡啶基团(以最大负载)络合。
也如US 2007/0222995中所述,纳入无机物质的嵌段共聚物也可通过直接合成技术来制备。例如,可通过依次与不含金属的单体(例如,苯乙烯单体)和含有无机物质的单体(例如,二茂铁基乙基甲基硅烷单体)进行序列活性聚合来合成含有无机物质的嵌段共聚物(例如,聚(苯乙烯)-b-聚(二茂铁基甲基乙基硅烷)(PS-b-PFEMS))。
二嵌段共聚物的实例尤其包括(例如)聚(苯乙烯)-b-聚(乙烯基吡啶)(PS-b-PVP)、聚(苯乙烯)-b-聚(甲基丙烯酸甲酯)(PS-b-PMMA)或其它PS-b-聚(丙烯酸酯)或PS-b-聚(甲基丙烯酸酯)、聚(苯乙烯)-b-聚(丙交酯)(PS-b-PLA)、聚(苯乙烯)-b-聚(丙烯酸叔丁基酯)(PS-b-PtBA)、和聚(苯乙烯)-b-聚(乙烯-共-丁烯(PS-b-(PS-共-PB))、和聚(苯乙烯)-b-聚(氧化乙烯)(PS-b-PEO)、聚丁二烯-b-聚(乙烯基吡啶)(PB-b-PVP)、聚(乙烯-交替-丙烯)-b-聚(乙烯基吡啶)(PEP-b-PVP),并且在所示实施例中使用PS-b-PVP二嵌段共聚物。可使用其它类型的嵌段共聚物(即,三嵌段或多嵌段共聚物)。三嵌段共聚物的实例尤其包括ABC共聚物,例如聚(苯乙烯-b-甲基丙烯酸甲酯-b-氧化乙烯)(PS-b-PMMA-b-PEO);和ABA共聚物,例如PS-PMMA-PS、PMMA-PS-PMMA和PS-b-PI-b-PS。
纳入无机物质的二嵌段共聚物的实例尤其包括聚(苯乙烯)-b-聚(二甲基硅氧烷)(PS-b-PDMS)、聚(异戊二烯)-b-聚(二甲基硅氧烷)(PI-b-PDMS)、PS-b-PFEMS、聚(异戊二烯)-b-聚(二茂铁基甲基乙基硅烷)(PI-b-PFEMS)、聚(苯乙烯)-b-聚(乙烯基甲基硅氧烷)(PS-b-PVMS)、聚(苯乙烯)-b-聚(丁二烯)(PS-b-PB)(其中聚丁二烯(PB)经四氧化锇(OSO4)染色)、和聚(苯乙烯)-b-聚(乙烯基吡啶)(PS-b-PVP)(其中吡啶基与无机物质形成配位键)。在聚合物嵌段退火并自我组装成半圆柱体和基质后,可实施氧化工艺(例如,紫外线(UV)-臭氧化或氧等离子体蚀刻)来移除嵌段共聚物结构域中的有机组份并转化无机物质以形成非挥发性无机氧化物,所述非挥发性无机氧化物保留在衬底上并且可在后续蚀刻工艺中用作遮罩。例如,PDMS和PFEM嵌段共聚物中的无机物质是砖和铁,其在氧化后会形成非挥发性氧化物,例如氧化砖(SiOx)和氧化铁(FexOy)。
可通过(例如)调节嵌段共聚物的分子量来改变所述嵌段共聚物的L值。也可将嵌段共聚物材料调配为二元或三元掺合物(其包含嵌段共聚物和与嵌段共聚物中的聚合物嵌段类型相同的聚合物的一或多种均聚物(HP))以产生可增大聚合物结构域的大小并增加L值的掺合物。均聚物在掺合物中的浓度可介于0至约60重量%之间。一般来说,在添加至聚合物材料中时,两种均聚物可以大致相同的比率或数量添加至掺合物中。三元二嵌段共聚物掺合物的实例是PS-b-PVP/PS/PVP掺合物,例如60重量%的32.5K/12K PS-b-PVP、20重量%的10K PS与20重量%的10K PVP的掺合物。三元二嵌段共聚物掺合物的另一实例是PS-b-PMMA/PS/PMMA掺合物,例如60重量%的46K/21K PS-b-PMMA、20重量%的20K聚苯乙烯与20重量%的20K聚(甲基丙烯酸甲酯)的掺合物。又一实例是PS-b-PEO/PS/PEO的60∶20∶20(重量%)掺合物,或约85-90重量%的PS-b-PEO与最高10-15重量%的PEO均聚物的掺合物。
可通过嵌段共聚物的链长度(分子量,MW)和二嵌段共聚物中AB嵌段的体积分率来控制膜形态(包括微相分离结构域的结构域大小和周期(Lo))以主要产生圆柱体形态。例如,如果两种嵌段的体积分率通常介于约60∶40与80∶20比率之间,则二嵌段共聚物可微相分离并自我组装成聚合物B存于聚合物A基质内的周期性半圆柱体结构域。可在PS基质中形成约20nm宽半圆柱体PVP结构域的圆柱体形成性PS-b-PVP共聚物材料(Lo为约35nm)的实例由约70重量%的PS与30重量%的PVP组成,总分子量(Mn)为44.5kg/mol。
参照图5A,可将圆柱体相嵌段共聚物材料26浇注或沉积至沟槽16、16a中以达到为或约为嵌段共聚物材料L值(例如,约为L±20%)的初始厚度(t1)。以使得厚度(t2)在退火后可等于或小于L值且嵌段共聚物材料可自我组装而形成平行于侧壁20对齐并沿各沟槽长度(lt)延伸的面朝下半圆柱体单层(例如,如图7A-7B中所示)。嵌段共聚物材料26的厚度可通过(例如)椭圆测量技术来测量。
可通过旋转浇注(旋涂)自共聚物存于诸如(例如)二氯乙烷(CH2Cl2)或甲苯等有机溶剂中的稀溶液(例如,约0.25-2重量%溶液)沉积嵌段共聚物材料。毛细管力将过量嵌段共聚物材料(例如,大于单层)拉到沟槽16、16a中。如图中所示,嵌段共聚物材料可在沟槽外的材料层14上(例如,在间隔体18上)沉积成薄层或膜26a。在退火后,薄膜26a会流入沟槽中,俯视可见在材料层14上留下无结构刷层。
在本发明实施例中,沟槽底面24结构化为中性润湿(对共聚物的两种嵌段具有均等亲和力)以沟槽底面上诱导形成向下定向的半圆柱体聚合物结构域,并且沟槽侧壁20和端部22结构化为受嵌段共聚物中一种嵌段优先润湿以在聚合物嵌段自我组装时诱导半圆柱体与侧壁20对齐。熵力促使两种嵌段润湿中性润湿表面,并且焓力促使优先嵌段(例如,次要嵌段)润湿优先润湿表面。
化学中性润湿沟槽底面24使得共聚物材料的两种嵌段可润湿沟槽底面并形成本揭示内容的半圆柱体成列布局。在本发明实施例中使用中性润湿沟槽底面增加了可用于产生自我组装膜的嵌段共聚物材料的种类,所述膜具有一系列在衬底表面上形成的的平行列,其可容易地用作蚀刻下伏衬底的遮罩以在纳米级层面上形成多列布局。
可通过(例如)将中性润湿聚合物(例如,中性润湿无规共聚物)施加于衬底10上来提供中性润湿材料12,随后形成上覆材料层14并蚀刻沟槽16、16a以暴露下伏中性润湿材料,如图2-2B中所示。
在图3-4中所示另一实施例中,可在形成沟槽16’、16a’后通过浇注或旋涂将中性润湿无规共聚物材料施加至沟槽中而形成(例如)毯层,如图4中所绘示。随后可对无规共聚物材料进行热处理以使所述材料通过毛细管作用流入沟槽底部,此产生由交联中性润湿无规共聚物构成的层(垫)12′。在另一实施例中,可使沟槽内的无规共聚物材料曝光(例如,通过遮罩或光罩)以使无规共聚物在沟槽内交联而形成中性润湿材料12′。之后可移除沟槽外(例如,在间隔体18′上)的未交联无规共聚物材料。
可通过施加无规共聚物以特别方式制备中性润湿表面,所述无规共聚物由与所述嵌段共聚物中单体相同的单体构成,并且经调整以使得每一单体的摩尔分率适合形成中性润湿表面。例如,在使用PS-b-PVP嵌段共聚物时,可自光可交联无规PS-r-PVP共聚物薄膜形成中性润湿材料12,其对PS和PVP表现非优先性或中性润湿,可将其浇注至衬底10上(例如,通过旋涂)。可通过化学接枝(在氧化物衬底上)或通过热或光解交联(任一表面)将无规共聚物材料固定在适当位置,以形成对PS和PVP中性润湿且在上面浇注嵌段共聚物材料时因交联而不溶的垫。
在另一实施例中,羟基末端均聚物与相应低分子量嵌段共聚物的掺合物可接枝(共价键结)至衬底上,从而形成尤其对嵌段共聚物中的PS-b-PMMA和PS-b-P2VP呈中性润湿的界面层(例如,约4-5nm)。嵌段共聚物在接枝前可用于乳化均聚物掺合物。例如,可将约20-50重量%(或约30-40重量%)的OH末端均聚物(例如,Mn=6K)与约80-50重量%(或约70-60重量%)的低分子量嵌段共聚物(例如,5K-5K)的掺合物的约1重量%溶液(例如,甲苯溶液)旋涂至衬底10(例如,SiO2)上、将其加热(烘烤)(例如,在160℃下)并通过(例如)用溶剂(例如,甲苯)冲洗来移除未接枝(未键结)聚合物材料。例如,可自约30重量%的PS-OH(Mn=6K)和PMMA-OH(Mn=6K)(重量比率为4∶6)与约70重量%的PS-b-PMMA(5K-5K)的掺合物或PS-OH(6K)、P2VP-OH(6K)与PS-b-2PVP(8K-8K)的三元掺合物等制备中性润湿材料。
在衬底10为硅(和自生氧化物)的实施例中,可通过氢末端硅来提供PS-b-PMMA的中性润湿表面。可(例如)通过氢等离子体来蚀刻沟槽16、16a的底面24以移除氧化物材料并形成氢末端硅,所述氢末端硅是对嵌段共聚物材料的两种嵌段具有均等亲和力的中性润湿材料。可通过习用工艺来制备氢末端硅,例如,通过使暴露于氟化氢(HF)和缓冲HF或氟化铵(NH4F)水溶液中来对硅衬底(含有自生氧化物,约)实施氟离子蚀刻,HF蒸气处理,或氢等离子体处理(例如,原子氢)。
可通过将无规共聚物(例如,PS-r-PVP、PS-r-PMMA等)选择性地接枝至衬底上以产生对应嵌段共聚物(例如,PS-r-PVP、PS-r-PMMA等)的中性润湿表面来进一步处理H末端硅衬底。例如,通过使用二烯连接体(例如,二乙烯基苯)使苯乙烯与甲基丙烯酸甲酯进行原位自由基聚合来提供PS-r-PMMA无规共聚物的中性润湿层,其中所述连接体将聚合物连接至H末端硅表面上而产生约10-15nm厚的膜。
仍然参照图3-4,在另一实施例中,可在形成材料层14′和沟槽16′后施加中性润湿无规共聚物材料12′,其选择性地与沟槽底面24′(由衬底10′材料构成)而非沟槽侧壁20′或端部22′(由材料层14′构成)反应。例如,含有环氧基团的无规共聚物(或均聚物与嵌段共聚物表面活性剂的适当掺合物)可选择性地与氮化砖和氧氮化硅表面而非氧化硅或硅表面上的末端胺官能团(例如,-NH-和-NH2)反应。在沟槽底面24′是硅或多晶硅并且侧壁20′是诸如氧化物(例如,SiOx)等材料的另一实例中,可对底面进行处理以形成H末端硅并且可通过原位聚合而仅在底面表面上形成无规共聚物材料14′(例如,PS-r-PVP、PS-r-PMMA等)。
在又一实施例中,可通过接枝基于三氯硅烷的SAM自我组装单层(SAM)(例如,接枝至氧化物(例如,SiO2)的3-(对-甲氧基苯基)内基三氯硅烷)来提供中性润湿表面(例如,对于PS-b-PMMA和PS-b-PEO),如(例如)D.H.帕克(D.H.Park),纳米技术(Nanotechnology)18(2007),第355304页中所述。
也可通过旋涂光或热可交联无规共聚物的毯层(例如苯乙烯和甲基丙烯酸甲酯的苯并环丁烯-或叠氮基甲基苯乙烯-官能化无规共聚物(例如,聚(苯乙烯-r-苯并环丁烯-r-甲基丙烯酸甲酯)(PS-r-PMMA-r-BCB)))来制备对PS-b-PMMA中性润湿的表面。例如,此一无规共聚物可包含约42重量%的PMMA、约(58-x)重量%的PS和x重量%(例如,约2-3重量%)的聚苯并环丁烯或聚(对-叠氮基甲基苯乙烯)。可使叠氮基甲基苯乙烯-官能化无规共聚物进行UV光交联(例如,在1-5MW/cm^2下暴露约15秒至约30分钟)或热交联(例如,在约170℃下交联约4小时)以形成交联聚合物垫作为中性润湿层。可使苯并环丁烯-官能化无规共聚物进行热交联(例如,在约200℃下交联约4小时或在约250℃下交联约10分钟)。
在另一实施例中,可通过在约160℃下加热约48小时使聚苯乙烯(PS)、具有羟基的聚甲基丙烯酸酯(PMMA)(例如,甲基丙烯酸2-羟乙酯(P(S-r-MMA-r-HEMA)))的中性润湿无规共聚物(例如,约58%的PS)选择性地接枝至衬底10(例如,氧化物)上而形成厚约5-10nm的中性润湿层。例如,参见英(In)等人,兰米尔(Langmuir),2006,22,7855-7860。
为在(例如)使用PS-b-PVP嵌段共聚物时提供优先润湿沟槽侧壁,材料层14尤其可由硅(和自生氧化物)、氧化物(例如,氧化硅、SiOx)、氮化硅、氧碳化硅、氧化铟锡(ITO)、氧氮化硅、和抗蚀剂材料(例如,基于甲基丙烯酸酯的抗蚀剂和聚二甲基戊二酰亚胺抗蚀剂)构成,其对优先嵌段(例如,次要嵌段)表现优先润湿,在所示实施例中所述优先嵌段是PVP嵌段。在嵌段共聚物材料退火并自我组装后,优先嵌段(例如,PVP嵌段)可沿沟槽的优先润湿侧壁20和端部22形成薄界面层。
在利用PS-b-PMMA的其它实施例中,在中性润湿材料12位于沟槽底面24上的适当位置的实施例中(如图2-2B、图4中所示),可将诸如经含-OH部分修饰的聚甲基丙烯酸甲酯(PMMA)聚合物(例如,甲基丙烯酸羟乙酯)等优先润湿材料选择性地施加至沟槽侧壁上。例如,可通过以下方式在沟槽底面24(例如,图4层)上形成中性润湿层:在SiOx侧壁存在下在H末端硅上使无规共聚物进行原位聚合,并且随后使经OH修饰PMMA接枝至侧壁上。例如,可通过(例如)旋涂后加热(例如,加热至约170℃)以使末端OH基团选择性地末端接枝至沟槽侧壁20和端部22(例如,氧化物)上来施加经OH修饰的PMMA。可通过用适当溶剂(例如,甲苯)冲洗来移除未接枝材料。例如,参见孟斯基(Mansky)等人,科学(Science),1997,275,1458-1460和英等人,兰米尔,2006,22,7855-7860。
参照图6,随后使沟槽中嵌段共聚物材料26的表面28与可优先润湿共聚物材料26中一种嵌段的材料30接触,所述嵌段在所示实施例中是次要嵌段。
在本发明一实施例中,优先润湿材料30是由固体材料构成,将其置于嵌段共聚物材料26表面上。例如,优先润湿材料30可由柔性或橡胶样软固体材料构成,例如经交联聚(二甲基硅氧烷)(PDMS)弹性体(例如,塞尔各得(Sylgard)-184,道-康宁(Dow-Corning))或其它弹性体聚合物材料(例如,聚硅氧、聚氨基甲酸酯等)。
经交联固体PDMS材料30提供疏水性外表面,所述疏水性外表面可通过(例如)以下方式来改变:实施等离子体氧化以将硅烷醇(SiOH)基团添加至表面上,从而赋予PDMS表面以亲水性。例如,在使用PS-b-PVP(70∶30)嵌段共聚物时,具有疏水性表面并且所述表面与PS-b-PVP嵌段共聚物材料26接触的PDMS材料30可优先受PS嵌段润湿,而具有亲水性表面修饰的PDMS材料30可优先受PVP嵌段润湿。在退火后,可(例如)通过自嵌段共聚物材料26的表面28举离或剥离PDMS材料30来移除材料30,此可包括施加诸如水、醇等溶剂(例如,通过浸泡)来渗透并溶胀PDMS材料以促进物理移除,并且所述溶剂与嵌段共聚物相容但不会溶解所述嵌段共聚物。也可施加稀氟化物溶液(例如,NH4F、HF、NaF等)来蚀刻和溶解去除PDMS材料。
在另一实施例中,优先润湿材料30可在嵌段共聚物材料26的表面28上形成无机膜。例如,可通过(例如)以下方式来形成旋涂电介质(SOD)材料层:施加含硅聚合物旋涂液体,移除溶剂(例如,通过加热)且随后氧化聚合物层(例如,氧气氛、蒸气氧化工艺、湿法化学氧化等)以形成硬质二氧化硅(SiO2)层,即可经PVP(次要)嵌段优先润湿的亲水性表面。在所述方法的实施例中,氧化可与BCP材料的热退火同时进行。含硅聚合物的实例包括硅酸酯、硅氧烷(例如,氢倍半硅氧烷(HSQ)、六甲基二硅氧烷、八甲基三硅氧烷等)、硅氮烷(例如,聚硅氮烷,例如六甲基二硅氮烷(HMDS)、四甲基二硅氮烷、八甲基环四硅氮烷、六甲基环三硅氮烷、二乙基氨基三甲基硅烷、二甲基氨基三甲基硅烷等)和倍半硅氧烷(例如,氢倍半硅氧烷(HSQ))。可通过(例如)浇注、旋转涂施、流动涂布或喷雾涂布技术来施加旋涂聚合物材料。旋涂聚合物材料的溶剂与嵌段共聚物相容但不会溶解所述嵌段共聚物,例如,水或醇。在退火后,可使用受控回蚀刻工艺来移除优先润湿电介质材料层30,例如,施加基于氟化物的蚀刻剂,藉此以较低蚀刻速率(例如,小于约/分钟)蚀刻电介质材料。
在优先润湿材料30与嵌段共聚物材料26的表面28接触时,实施退火过程(箭头↓,图6)以使聚合物嵌段响应沟槽表面的优先和中性润湿和上覆材料30的优先润湿而发生相分离,并且形成自我组装聚合物材料32,如图7-7C中所示。
可在高于共聚物材料中各组份嵌段的玻璃转化温度的温度下进行热退火。例如,可在真空烘箱中于约150-275℃温度下经约1-24小时使PS-b-PVP共聚物材料全面退火以达成自我组装形态。可使用(例如)原子力显微镜(AFM)、穿透式电子显微镜(TEM)、扫描式电子显微镜(SEM)来检查所得经退火共聚物材料32的形态(例如,多列半圆柱体的平行定向)。
可对嵌段共聚物材料进行全面加热,或在其它实施例中,可对嵌段共聚物材料26的多个部分或区段进行区域性或局部热退火。例如,可使衬底移动跨越定位于衬底上方(如图所示)或下方的热至冷温度梯度34(图6)(或可相对于衬底移动热源,例如,箭头→),以使得嵌段共聚物材料可在经过热源并冷却后自我组装。嵌段共聚物材料中只有加热至高于聚合物组成嵌段的玻璃转化温度的部分可自我组装,而未经充分加热的材料区仍然无序并且未进行自我组装。将加热区域“拉”过衬底可相对于全面热退火进行更快的处理并产生更有序的结构。
在退火后,圆柱体相嵌段共聚物材料26会自我组装成聚合物材料32(例如,膜),如图7-7C中所绘示。响应圆柱体相嵌段共聚物组合物的特征(例如,固有间距为或约为L的70∶30PS-b-PVP)以及边界条件(包括沟槽16的宽度(wt)和沟槽表面的润湿特性提供的约束(即,沟槽底面24对两种聚合物嵌段(例如,无规接枝共聚物)表现中性或非优先润湿,并且沟槽侧壁20和上覆材料30对次要嵌段表现优先润湿)),次要(优先)嵌段(例如,PVP)可自我组装而在沟槽底面上的非优先(中性)润湿材料12上形成平行定向的面朝下半圆柱体结构域(列)36,其平行于沟槽底面24并沿沟槽16、16a的长度(lt)与侧壁20对齐。在沟槽内,主要聚合物嵌段(例如,PS)的基质38覆盖在半圆柱体36上面并包围所述半圆柱体。一般来说,半圆柱体列36(考虑两种嵌段)的宽度(wc)可为或约为0.5*L。
另外,次要(优先)嵌段(例如,PVP)可分散至沟槽16、16a的优先润湿侧壁20和端部22并将其润湿以形成薄界面或润湿(刷)层36a,并且可分散至上覆优先润湿材料层30并将其润湿以形成上覆薄润湿层36as。润湿层36a、36as(考虑两种嵌段)的厚度通常为约0.5*L,其包括≤0.25*L的次要嵌段和约0.25*L的主要嵌段。例如,厚度≤0.25*L的PVP嵌段层可润湿与自氧化物材料向外附接PS结构域(厚约0.25*L)的氧化物界面。
在本发明实施例中,自我组装聚合物材料32的退火后厚度(t2)等于或低于L值,或t2=b+(0.5*L)(其中b是上覆润湿层36as的厚度,考虑两种嵌段),或t2=[(≤0.5*L)+(0.5*L)],或t2≤L。
在嵌段共聚物材料26包括诸如金属(例如,Si、Fe等)等无机物质的实施例中,所述无机物质可在退火后分散至一个聚合物相中。例如,对于与吡啶基团选择性地溶剂化Si和Fe物质的含硅和/或含铁添加剂组合的PS-b-PVP共聚物来说,在退火期间,所述Si和Fe物质可分散至PVP半圆柱体36(和润湿层36a)中。适宜无机前体在退火温度下热稳定且不会挥发。
在所示实施例中,沟槽16的宽度(wt)为约1.5-2*嵌段共聚物26的L(或1.5-2X所述间距值),使得自间距值为约L的嵌段共聚物沿沟槽16的中心向下形成单一半圆柱体。如图7A和7C中所绘示,在宽度(wt2)为约(n+1)*L(或(n+1)X间距值)的较宽沟槽16a内,嵌段共聚物材料可自我组装而形成多(n)列半圆柱体36(显示为两列),相邻各列的中心-中心间距距离(p)为或约为嵌段共聚物材料的间距距离或L值。沟槽内半圆柱体列36的数目(n)可随(例如)沟槽宽度和/或嵌段共聚物材料的间距距离(p)或L值而变。
在嵌段共聚物材料退火并排序后,优先润湿材料30可与已组装嵌段共聚物材料32脱离接触,如图8中所示。
例如,在使用诸如PDMS等固体弹性体材料30时,可将所述材料自嵌段共聚物材料32的表面举离或剥离。为了方便移除,可施加(例如,通过喷涂、浸泡材料)诸如水、醇等与已组装聚合物结构域相容但不会溶解或蚀刻所述聚合物结构域的溶剂,从而渗透并溶胀所述材料且促进移除,并且不改变或损害已组装聚合物的结构。也可施加稀氟化物溶液(例如,NH4F、HF、NaF等)以介导PDMS材料的移除和分解。
在优先润湿材料30是由诸如旋涂电介质(SOD)等无机材料构成的本发明实施例中,可通过受控回蚀刻工艺来移除材料30,例如施加基于氟化物的蚀刻剂,藉此以低蚀刻速率(例如,小于约/分钟)蚀刻电介质材料并且不改变或损害已组装聚合物的结构。
在将弹性体材料30与包括无机物质(例如,Si、Fe等)的嵌段共聚物材料一起使用的实施例中,可使用溶解或蚀刻聚合物组份而非无机物质的工艺选择性移除嵌段共聚物结构域中的有机组份,从而在衬底上留下无机物质来形成遮罩材料。例如,氧等离子体蚀刻可移除碳质主要结构域,从而在衬底表面上留下成列无机材料(例如,Si、Fe等)。
一般来说,沟槽外(例如,在间隔体18上)的嵌段共聚物薄膜26a不会厚至可引发自我组装。任选地,可通过(例如)蚀刻技术或平面化工艺移除未经结构化的薄膜26a以提供大致均匀的平坦表面。
任选地,可对共聚物材料进行处理以使一种聚合物结构域(例如,PVP半圆柱体)交联,从而固定并增强聚合物嵌段的强度。例如,可使一种聚合物嵌段结构化为内在交联(例如,在暴露于紫外线(UV)辐射后,包含深紫外线(DUV)辐射)或可调配聚合物嵌段以使其含有交联剂。例如,可通过光罩(未显示)选择性地暴露沟槽区域以仅使沟槽16、16a内的自我组装聚合物材料32交联,并且随后可用适当溶剂(例如,甲苯)实施洗涤以移除嵌段共聚物材料26a中的未交联部分,从而在沟槽内留下对齐的自我组装聚合物材料32并使材料层14的表面暴露于沟槽上/沟槽外。在另一实施例中,可使退火聚合物材料32发生全面交联,可施加光阻剂材料以图案化并暴露聚合物材料26a的沟槽区外区域,并且可通过(例如)氧(O2)等离子体处理来移除聚合物材料26a的暴露部分。
在一实施例中,施加自我组装聚合物材料30作为蚀刻遮罩以在衬底10中形成开孔。在聚合物嵌段退火并自我组装成半圆柱体36和基质38并且移除优先润湿材料30后,可对组装聚合物材料32进行处理以形成可在衬底10中形成开孔时用作蚀刻遮罩的结构。
在本发明某些实施例中,可选择性地移除由次要嵌段(例如,PVP)构成的表面润湿层36as(图7A、7C),以暴露自我组装聚合物材料32的基质38。例如,可通过(例如)RIE工艺使用氧、氟碳化合物或氩等离子体移除PVP的表面润湿层36as。
在一种聚合物结构域包括无机物质(例如,Si、Fe等)的本发明实施例中,可实施诸如UV-臭氧化或氧等离子体蚀刻等氧化工艺来移除有机材料(即,聚合物结构域)并将无机物质转化成非挥发性无机氧化物(例如,氧化硅(SiOx)、氧化铁(FexOy)等),其可保留在衬底上并且可在后续蚀刻工艺中用作遮罩。
例如,如图9-9B中所绘示,在嵌段共聚物材料(26)由组合(例如,掺杂)有含Si和/或含Fe添加剂的PS-b-PVP构成的所示实施例中,Si和/或Fe物质分散至PVP半圆柱体36和润湿层36a中。可实施氧化工艺(箭头↓↓)以移除PS基质38和半圆柱体36(和中性润湿层12)的PVP聚合物组份并将半圆柱体内的Si和/或Fe物质转化成无机氧化物(例如,SiOx和/或FexOy),从而在衬底10上产生非挥发性无机氧化物列40。
在其它实施例中,可相对于半圆柱体列36选择性地移除自我组装聚合物材料32的基质结构域38,所述半圆柱体列36可用作遮罩在沟槽底面处蚀刻经暴露衬底10。例如,在使用PS-b-PMMA嵌段共聚物时,可通过(例如)UV暴露/乙酸显影或通过选择性反应离子蚀刻(RIE)来选择性地移除PMMA结构域,并且随后可使用剩余PS结构域作为蚀刻所述衬底的遮罩。
如图10-10B中所绘示,随后使用氧化物列40作为遮罩,使用(例如)各向异性选择性反应性离子蚀刻(RIE)工艺在衬底10中蚀刻成列开孔42(例如,沟槽)。
随后,可根据需要实施进一步处理。例如,如图11-11B中所绘示,可使用(例如)基于氟化物的蚀刻剂来移除残留氧化物列40,并且可用诸如金属或金属合金(尤其例如Cu、Al、W、Si和Ti3N4)等材料44来填充衬底开孔42以形成导线阵列,或用诸如SiO2、Al2O3、HfO2、ZrO2、SrTiO3和诸如此类等绝缘材料来填充。
现在参照图12,在本发明另一实施例中,可施加气氛46′以在沟槽中嵌段共聚物材料26′的表面28′处形成优先润湿共聚物材料26′中一种嵌段的空气界面。
在某些实施例中,优先润湿气氛可由洁净干燥的空气构成,以优先润湿具有较低表面张力的聚合物嵌段。例如,在使用PS-b-PVP和PS-b-PEO时,PS嵌段具有相对较低的表面张力并且可优先润湿洁净干燥的空气气氛。在使用PS-b-PDMS时,PDMS嵌段具有相对较低的表面张力并且可优先润湿洁净干燥的空气气氛。在其它实施例中,可施加潮湿气氛(空气)以相对于PS优先润湿PEO(例如,在使用PS-b-PEO时);或可施加近饱和溶剂气氛(例如,乙醇、二甲基甲酰胺(DMF)和诸如此类)作为蒸气相以相对于PS优先润湿PVP(例如,在使用PS-b-PVP时)。
随后可在优先润湿气氛46′存在下使嵌段共聚物材料26′退火,以使得聚合物嵌段可响应沟槽表面的优先和中性润湿性以及空气界面处上覆气氛46′的优先润湿性而发生相分离,从而形成自我组装的聚合物材料32′,如图13-13B中所示。响应由沟槽16′、16a′的宽度(wt)、对两种聚合物嵌段呈中性润湿的底面24′、和优先润湿次要嵌段的侧壁20′和空气界面提供的约束,圆柱体相嵌段共聚物组合物(例如,70∶30PS-b-PVP(固有间距为约L)可自我组装,以使得次要(优先)嵌段(例如,PVP)可在沟槽底面上的中性润湿材料12′上形成被主要聚合物嵌段(例如,PS)的上覆基质38′包围的平行定向的面朝下半圆柱体结构域36′。另外,次要(优先)嵌段(例如,PVP)可分散至沟槽16′、16a′的侧壁20′和端部22′以及优先润湿次要嵌段的空气界面(例如,在使用近饱和溶剂气氛时)中并将其润湿,以形成薄界面或润湿层36a′(在侧壁上)和36as′(在空气界面处)(例如,厚度为约0.25*L)。作为另一实例,在使用圆柱体相PS-b-PDMS时,PDMS半圆柱体26′会在中性润湿材料12′上于上覆PS基质38′内组装,并且PDMS可形成刷层26a′(在沟槽侧壁20′和端部22′上)和刷层26as′(在与优先润湿PDMS的洁净干燥空气气氛的界面处)。
在退火后,聚合物材料可任选地如先前所述进行交联。在某些实施例中,可通过(例如)RIE工艺在空气界面处选择性地移除表面润湿层36a′s(例如,次要嵌段PVP)以暴露下伏基质38′。随后可按照需要处理自我组装的聚合物材料以形成(例如)蚀刻下伏衬底10′的遮蔽材料。
本发明中各实施例提供形成平行成列结构的方法,所述结构通过制图外延法迅速组装并且在较宽沟槽内的较大区域内无缺陷。自形成圆柱体的嵌段共聚物产生所述结构的速度显著快于形成片层的嵌段共聚物;并且在用作蚀刻遮罩时,与次要嵌段圆柱体完全悬浮于主要嵌段基质中的阵列相比,所述结构因在蚀刻期间底切圆柱体下方的基质而可改良转移至下伏衬底的图案。所述方法还提供纳米级的有序和对齐元件,其制造成本低于电子束光刻、EUV光学光刻或习用光学光刻。本发明可制造并达成习用光学光刻难以达成的特征大小。所述方法和系统可容易地用于并纳入现有半导体制造工艺流程中并提供制造小结构的低成本、高通量技术。
尽管本文已阐释并阐述了具体实施例,但所属领域技术人员可了解,可用旨在达成相同目的任何布置来代替所示具体实施例。本申请案意欲涵盖根据本发明所述原则作出的任何调整或改变。因此,本发明意欲仅受限于权利要求书和其等效内容。本申请案中所引用专利、参考文献和出版物的揭示内容均以引用方式并入本文中。
Claims (35)
1.一种在衬底上形成纳米结构聚合物材料的方法,其包含:
在所述衬底上的材料层中的沟槽内形成自我组装嵌段共聚物材料,所述沟槽具有长度、中性润湿底面、和优先润湿所述嵌段共聚物的次要嵌段的相对侧壁和端部;
将优先润湿所述次要嵌段的材料施加至所述沟槽内所述嵌段共聚物材料的上方并与所述嵌段共聚物材料接触;和
使所述嵌段共聚物材料退火,以使得所述嵌段共聚物材料自我组装成所述嵌段共聚物的次要嵌段存于所述嵌段共聚物的主要嵌段基质内的半圆柱体结构域,所述半圆柱体聚合物结构域平行于所述沟槽底面定向并且沿所述沟槽的长度延伸,所述半圆柱体结构域具有朝向所述中性润湿沟槽底面定向并且润湿所述中性润湿沟槽底面的面。
2.如权利要求1所述的方法,其中所述嵌段共聚物的次要嵌段包含金属。
3.如权利要求2所述的方法,其中所述金属选自由以下组成的群组:硅、铬、钛、铝、钼、金、铂、钌、锆、钨、钒、铅、和锌。
4.如权利要求2所述的方法,其中所述嵌段共聚物的次要嵌段选自由以下组成的群组:聚(乙烯基吡啶)、聚(甲基丙烯酸甲酯)、聚(丙交酯)、聚(丙烯酸叔丁基酯)、聚(乙烯-共-丁烯)、聚(氧化乙烯)、聚(丁二烯)、和聚苯乙烯。
5.如权利要求2所述的方法,其中所述嵌段共聚物的次要嵌段选自由以下组成的群组:聚二甲基砖氧烷、聚(乙烯基甲基硅氧烷)、和聚(二茂铁基甲基乙基硅烷)。
6.如权利要求1所述的方法,其中所述嵌段共聚物的主要嵌段选自由以下组成的群组:聚(苯乙烯)、聚(异戊二烯)、聚(丁二烯)、和聚(乙烯-交替-丙烯)、和聚(甲基丙烯酸甲酯)。
7.如权利要求1所述的方法,其中施加所述优先润湿材料包含使所述沟槽内的所述嵌段共聚物材料与弹性体材料接触。
8.如权利要求7所述的方法,其中所述优先润湿材料包含聚(二甲基硅氧烷)或聚(氨基甲酸酯)。
9.如权利要求8所述的方法,其中所述优先润湿材料包含具有亲水性表面的聚(二甲基硅氧烷)。
10.如权利要求9所述的方法,其中所述优先润湿材料包含在表面上包含硅烷醇基团的聚(二甲基砖氧烷)。
11.如权利要求1所述的方法,其中施加所述优先润湿材料包含沉积无机材料以在所述沟槽内的所述嵌段共聚物材料上形成一层。
12.如权利要求11所述的方法,其中所述优先润湿材料包含旋涂电介质材料。
13.如权利要求1所述的方法,其进一步包含移除所述优先润湿材料以暴露所述沟槽内的所述经退火嵌段共聚物材料。
14.如权利要求13所述的方法,其中移除所述优先润湿材料包含分离所述优先润湿材料与所述经退火嵌段共聚物材料。
15.如权利要求14所述的方法,其进一步包含将溶剂施加至所述优先润湿材料以促进移除。
16.如权利要求13所述的方法,其中所述优先润湿材料包含氧化物材料,并且移除所述优先润湿材料层包含施加基于氟化物的蚀刻剂。
17.如权利要求1所述的方法,其进一步包含选择性地移除所述主要聚合物嵌段以暴露所述半圆柱体结构域和与所述半圆柱体结构域相邻的衬底。
18.如权利要求1所述的方法,其进一步包含选择性地移除聚合物材料以暴露所述衬底并使所述次要嵌段中的金属组份形成无机金属材料,从而使所述无机金属材料在所述衬底上形成一列。
19.一种在衬底上形成纳米结构聚合物材料的方法,其包含:
在覆盖在所述衬底上面的材料层中的沟槽内形成嵌段共聚物材料,所述沟槽具有宽度、长度、深度、中性润湿底面、以及优先润湿所述嵌段共聚物的次要嵌段的相对侧壁和端部;
将优先润湿所述次要嵌段的材料施加至所述沟槽内所述嵌段共聚物材料的上方并与所述嵌段共聚物材料接触;和
在所述嵌段共聚物材料内引发微相分离以形成在所述嵌段共聚物的主要嵌段基质内包含所述嵌段共聚物的次要嵌段的半圆柱体结构域,所述半圆柱体结构域聚合物结构域平行于所述沟槽底面定向并且与所述沿所述沟槽长度延伸的成列侧壁对齐,所述半圆柱体聚合物结构域具有位于所述中性润湿沟槽底面上的面。
20.如权利要求19所述的方法,其中所述沟槽的宽度为所述嵌段共聚物的L值的约1.5-2倍,并且在所述沟槽内形成宽度为约0.5*L的单一半圆柱体结构域。
21.如权利要求19所述的方法,其中所述沟槽的宽度大于所述嵌段共聚物的L值的约2倍,并且在所述沟槽内形成两个或更多个半圆柱体结构域,其中心-中心距离约等于所述嵌段共聚物的L值。
22.如权利要求21所述的方法,其中所述沟槽的宽度为所述嵌段共聚物的L值的约3倍或更多倍。
23.如权利要求19所述的方法,其中所述中性润湿底面包含接枝均聚物的掺合物。
24.一种在衬底上形成纳米结构聚合物材料的方法,其包含:
在所述衬底上的材料层中的沟槽内形成自我组装嵌段共聚物材料,所述沟槽具有长度、中性润湿底面、和优先润湿所述嵌段共聚物的次要嵌段的相对侧壁和端部;
将优先润湿所述次要嵌段的气氛施加至所述沟槽内所述嵌段共聚物材料的上方并与所述嵌段共聚物材料接触;和
使所述嵌段共聚物材料退火,以使得所述嵌段共聚物材料自我组装成所述嵌段共聚物的次要嵌段存于所述嵌段共聚物的主要嵌段基质内的半圆柱体结构域,所述半圆柱体聚合物结构域平行于所述沟槽底面定向并且沿所述沟槽的长度延伸,所述半圆柱体结构域具有朝向所述中性润湿沟槽底面定向并且润湿所述中性润湿沟槽底面的面,并且所述次要嵌段在所述嵌段共聚物材料上形成一层。
25.如权利要求24所述的方法,其中所述优先润湿气氛选自由以下组成的群组:洁净干燥空气、潮湿空气、和近饱和溶剂气氛。
26.一种蚀刻衬底的方法,其包含:
在所述衬底上的材料层中的沟槽内形成自我组装嵌段共聚物材料,所述沟槽具有长度、中性润湿底面、和优先润湿所述嵌段共聚物的次要嵌段的相对侧壁和端部;
将优先润湿所述次要嵌段的材料施加至所述沟槽内所述嵌段共聚物材料的上方并与所述嵌段共聚物材料接触;
使所述嵌段共聚物材料退火,以使得所述嵌段共聚物材料自我组装成所述嵌段共聚物的次要嵌段存于所述嵌段共聚物的主要嵌段基质内的半圆柱体结构域,所述半圆柱体聚合物结构域平行于所述沟槽底面定向并且沿所述沟槽的长度延伸,所述半圆柱体结构域具有朝向所述中性润湿沟槽底面定向并且润湿所述中性润湿沟槽底面的面;
所述方法进一步包含移除所述优先润湿材料以暴露所述沟槽内的所述经退火嵌段共聚物材料;
选择性地移除所述主要聚合物嵌段以暴露所述衬底,其中至少一部分所述半圆柱体结构域以连续列形式保留在所述衬底上;和
蚀刻所述衬底的暴露部分以在其中形成沟槽。
27.如权利要求26所述的方法,其中所述次要嵌段包含金属,并且移除所述主要嵌段进一步包含移除所述次要聚合物嵌段和使所述金属在所述衬底上以列形式形成无机金属材料。
28.如权利要求26所述的方法,其进一步包含用填充材料填充所述沟槽。
29.如权利要求28所述的方法,其中所述填充材料包含金属或金属合金。
30.如权利要求28所述的方法,其中所述填充材料包含绝缘体材料。
31.一种蚀刻衬底的方法,其包含:
在所述衬底上的材料层中的沟槽内形成自我组装嵌段共聚物材料,所述沟槽具有长度、中性润湿底面、和优先润湿所述嵌段共聚物的次要嵌段的相对侧壁和端部;
将优先润湿所述次要嵌段的气氛施加至所述沟槽内所述嵌段共聚物材料的上方并与所述嵌段共聚物材料接触;和
使所述嵌段共聚物材料退火,以使得所述嵌段共聚物材料自我组装成所述嵌段共聚物的次要嵌段存于所述嵌段共聚物的主要嵌段基质内的半圆柱体结构域,所述半圆柱体聚合物结构域平行于所述沟槽底面定向并且沿所述沟槽的长度延伸,所述半圆柱体结构域具有朝向所述中性润湿沟槽底面定向并且润湿所述中性润湿沟槽底面的面,并且所述次要嵌段形成覆盖在所述嵌段共聚物材料上面的层;
所述方法包含移除所述次要嵌段上覆层以暴露所述沟槽内的所述经退火嵌段共聚物材料;
选择性地移除所述主要聚合物嵌段以暴露所述衬底,其中至少一部分所述半圆柱体结构域以连续列形式保留在所述衬底上;和
蚀刻所述衬底的暴露部分以在其中形成沟槽。
32.一种聚合物材料,其位于覆盖在衬底上面的材料层中的沟槽内,所述沟槽具有侧壁、端部、底面、宽度和长度,所述聚合物材料包含自我组装的嵌段共聚物材料,所述自我组装的嵌段共聚物材料在主要聚合物嵌段基质中包含次要聚合物嵌段的半圆柱体聚合物结构域,所述半圆柱体聚合物结构域平行于所述沟槽底面定向并且沿所述沟槽的长度延伸,所述半圆柱体结构域具有位于所述沟槽底面上的面。
33.如权利要求32所述的聚合物材料,其中所述沟槽底而包含中性润湿材料,并且所述侧壁和端部包含优先润湿所述次要聚合物嵌段的材料。
34.如权利要求32所述的聚合物材料,其进一步包含优先润湿覆盖在所述聚合物材料上面的所述次要嵌段的材料。
35.如权利要求32所述的聚合物材料,其中所述次要嵌段选择性地包含金属。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/114,173 | 2008-05-02 | ||
US12/114,173 US8114301B2 (en) | 2008-05-02 | 2008-05-02 | Graphoepitaxial self-assembly of arrays of downward facing half-cylinders |
PCT/US2009/041125 WO2009134635A2 (en) | 2008-05-02 | 2009-04-20 | Graphoepitaxial self-assembly of arrays of downward facing half-cylinders |
Publications (2)
Publication Number | Publication Date |
---|---|
CN102015524A true CN102015524A (zh) | 2011-04-13 |
CN102015524B CN102015524B (zh) | 2013-09-11 |
Family
ID=41255682
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2009801158611A Active CN102015524B (zh) | 2008-05-02 | 2009-04-20 | 聚合物材料,在衬底上形成纳米结构聚合物材料的方法和使用该聚合物材料蚀刻衬底的方法 |
Country Status (7)
Country | Link |
---|---|
US (4) | US8114301B2 (zh) |
EP (1) | EP2276690B1 (zh) |
JP (1) | JP5435438B2 (zh) |
KR (1) | KR101284422B1 (zh) |
CN (1) | CN102015524B (zh) |
TW (1) | TWI375659B (zh) |
WO (1) | WO2009134635A2 (zh) |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103319931A (zh) * | 2012-02-10 | 2013-09-25 | 罗门哈斯电子材料有限公司 | 热退火工艺 |
CN104395230A (zh) * | 2012-06-05 | 2015-03-04 | 阿克伦大学 | 定向取向嵌段共聚物薄膜的制造 |
TWI498377B (zh) * | 2012-02-10 | 2015-09-01 | 羅門哈斯電子材料有限公司 | 摻合嵌段共聚物組成物 |
CN104995715A (zh) * | 2013-02-14 | 2015-10-21 | Asml荷兰有限公司 | 用于通过嵌段共聚物的自组装在衬底上提供间隔的光刻特征的方法 |
CN110248725A (zh) * | 2016-12-22 | 2019-09-17 | 伊鲁米那股份有限公司 | 包括树脂膜和图案化的聚合物层的阵列 |
Families Citing this family (95)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8168284B2 (en) | 2005-10-06 | 2012-05-01 | Wisconsin Alumni Research Foundation | Fabrication of complex three-dimensional structures based on directed assembly of self-assembling materials on activated two-dimensional templates |
US8394483B2 (en) | 2007-01-24 | 2013-03-12 | Micron Technology, Inc. | Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly |
US8083953B2 (en) | 2007-03-06 | 2011-12-27 | Micron Technology, Inc. | Registered structure formation via the application of directed thermal energy to diblock copolymer films |
US8557128B2 (en) | 2007-03-22 | 2013-10-15 | Micron Technology, Inc. | Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers |
US8097175B2 (en) | 2008-10-28 | 2012-01-17 | Micron Technology, Inc. | Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure |
US8294139B2 (en) | 2007-06-21 | 2012-10-23 | Micron Technology, Inc. | Multilayer antireflection coatings, structures and devices including the same and methods of making the same |
US7959975B2 (en) | 2007-04-18 | 2011-06-14 | Micron Technology, Inc. | Methods of patterning a substrate |
US8372295B2 (en) | 2007-04-20 | 2013-02-12 | Micron Technology, Inc. | Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method |
US8404124B2 (en) | 2007-06-12 | 2013-03-26 | Micron Technology, Inc. | Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces |
US8080615B2 (en) | 2007-06-19 | 2011-12-20 | Micron Technology, Inc. | Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide |
US9183870B2 (en) | 2007-12-07 | 2015-11-10 | Wisconsin Alumni Research Foundation | Density multiplication and improved lithography by directed block copolymer assembly |
US8999492B2 (en) | 2008-02-05 | 2015-04-07 | Micron Technology, Inc. | Method to produce nanometer-sized features with directed assembly of block copolymers |
US8101261B2 (en) | 2008-02-13 | 2012-01-24 | Micron Technology, Inc. | One-dimensional arrays of block copolymer cylinders and applications thereof |
US8425982B2 (en) | 2008-03-21 | 2013-04-23 | Micron Technology, Inc. | Methods of improving long range order in self-assembly of block copolymer films with ionic liquids |
US8426313B2 (en) | 2008-03-21 | 2013-04-23 | Micron Technology, Inc. | Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference |
US8114300B2 (en) | 2008-04-21 | 2012-02-14 | Micron Technology, Inc. | Multi-layer method for formation of registered arrays of cylindrical pores in polymer films |
US8114301B2 (en) | 2008-05-02 | 2012-02-14 | Micron Technology, Inc. | Graphoepitaxial self-assembly of arrays of downward facing half-cylinders |
US8563086B2 (en) * | 2009-07-22 | 2013-10-22 | Korea Institute Research and Business Foundation | Nano pattern formation |
US8592732B2 (en) | 2009-08-27 | 2013-11-26 | Korea University Research And Business Foundation | Resistive heating device for fabrication of nanostructures |
US9011978B2 (en) | 2010-02-26 | 2015-04-21 | Asml Netherlands B.V. | Method and apparatus for treatment of self-assemblable polymer layers for use in lithography |
TWI529808B (zh) | 2010-06-10 | 2016-04-11 | Asm國際股份有限公司 | 使膜選擇性沈積於基板上的方法 |
US8304493B2 (en) | 2010-08-20 | 2012-11-06 | Micron Technology, Inc. | Methods of forming block copolymers |
CN102983065B (zh) * | 2011-09-06 | 2015-12-16 | 中芯国际集成电路制造(北京)有限公司 | 图案、掩模图案形成方法和半导体器件制造方法 |
WO2013040483A1 (en) | 2011-09-15 | 2013-03-21 | Wisconsin Alumni Research Foundation | Directed assembly of block copolymer films between a chemically patterned surface and a second surface |
WO2013050338A1 (en) * | 2011-10-03 | 2013-04-11 | Asml Netherlands B.V. | Method to provide a patterned orientation template for a self-assemblable polymer |
US8900963B2 (en) | 2011-11-02 | 2014-12-02 | Micron Technology, Inc. | Methods of forming semiconductor device structures, and related structures |
EP2594995A1 (en) * | 2011-11-16 | 2013-05-22 | University College Cork | A method for providing a nanopattern of metal oxide nanostructures on a substrate |
US9112003B2 (en) | 2011-12-09 | 2015-08-18 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
KR101945513B1 (ko) * | 2012-02-10 | 2019-02-07 | 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 | 나노리소그래피용 폴리락티드/실리콘 함유 블록 공중합체 |
US9372398B2 (en) * | 2012-03-02 | 2016-06-21 | Wisconsin Alumni Research Foundation | Patterning in the directed assembly of block copolymers using triblock or multiblock copolymers |
US8686109B2 (en) * | 2012-03-09 | 2014-04-01 | Az Electronic Materials (Luxembourg) S.A.R.L. | Methods and materials for removing metals in block copolymers |
US20140010990A1 (en) * | 2012-07-06 | 2014-01-09 | Wisconsin Alumni Research Foundation | Directed assembly of poly (styrene-b-glycolic acid) block copolymer films |
US8821739B2 (en) | 2012-07-12 | 2014-09-02 | Rohm And Haas Electronic Materials Llc | High temperature thermal annealing process |
US8821738B2 (en) | 2012-07-12 | 2014-09-02 | Rohm And Haas Electronic Materials Llc | Thermal annealing process |
JP2014027228A (ja) * | 2012-07-30 | 2014-02-06 | Tokyo Electron Ltd | 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム |
US9087699B2 (en) | 2012-10-05 | 2015-07-21 | Micron Technology, Inc. | Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure |
KR20140087904A (ko) * | 2012-12-31 | 2014-07-09 | 삼성전자주식회사 | 블록 코폴리머 층의 패턴 형성 방법 |
KR101993255B1 (ko) * | 2013-01-07 | 2019-06-26 | 삼성전자주식회사 | 콘택 홀 형성 방법 |
US9050621B2 (en) | 2013-01-24 | 2015-06-09 | Corning Incorporated | Surface nanofabrication methods using self-assembled polymer nanomasks |
US9566609B2 (en) | 2013-01-24 | 2017-02-14 | Corning Incorporated | Surface nanoreplication using polymer nanomasks |
JP5758422B2 (ja) | 2013-02-19 | 2015-08-05 | 株式会社東芝 | パターン形成方法 |
US9229328B2 (en) | 2013-05-02 | 2016-01-05 | Micron Technology, Inc. | Methods of forming semiconductor device structures, and related semiconductor device structures |
TWI658055B (zh) * | 2013-06-19 | 2019-05-01 | 德州大學董事會 | 用於薄膜嵌段共聚物之定向控制之酸酐共聚物面塗層 |
JP6232226B2 (ja) * | 2013-08-09 | 2017-11-15 | 東京応化工業株式会社 | 相分離構造を含む構造体の製造方法 |
US9177795B2 (en) * | 2013-09-27 | 2015-11-03 | Micron Technology, Inc. | Methods of forming nanostructures including metal oxides |
US9053923B2 (en) * | 2013-11-05 | 2015-06-09 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits including topographical features for directed self-assembly |
KR102166523B1 (ko) * | 2013-12-02 | 2020-10-16 | 에스케이하이닉스 주식회사 | 나노 스케일 형상 구조 및 형성 방법 |
US9184058B2 (en) * | 2013-12-23 | 2015-11-10 | Micron Technology, Inc. | Methods of forming patterns by using a brush layer and masks |
TWI661072B (zh) | 2014-02-04 | 2019-06-01 | 荷蘭商Asm Ip控股公司 | 金屬、金屬氧化物與介電質的選擇性沈積 |
US10047435B2 (en) | 2014-04-16 | 2018-08-14 | Asm Ip Holding B.V. | Dual selective deposition |
FR3021321B1 (fr) * | 2014-05-26 | 2016-06-03 | Commissariat Energie Atomique | Procede de realisation de motifs par auto-assemblage de copolymeres a blocs |
US10739673B2 (en) | 2014-06-20 | 2020-08-11 | Taiwan Semiconductor Manufacturing Company Limited | Preparing patterned neutral layers and structures prepared using the same |
JP6173989B2 (ja) * | 2014-08-29 | 2017-08-02 | 東芝メモリ株式会社 | パターン形成方法 |
KR102302704B1 (ko) | 2014-09-02 | 2021-09-15 | 삼성전자주식회사 | 마스크용 패턴 구조물, 이를 이용한 홀 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US9490145B2 (en) | 2015-02-23 | 2016-11-08 | Asm Ip Holding B.V. | Removal of surface passivation |
US9530662B2 (en) | 2015-02-25 | 2016-12-27 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits using directed self-assembly including a substantially periodic array of topographical features that includes etch resistant topographical features for transferability control |
TWI567487B (zh) | 2015-04-15 | 2017-01-21 | 國立清華大學 | 薄膜圖案形成方法 |
KR102350587B1 (ko) | 2015-04-23 | 2022-01-14 | 삼성전자 주식회사 | 미세 패턴 형성 방법 |
US10428421B2 (en) | 2015-08-03 | 2019-10-01 | Asm Ip Holding B.V. | Selective deposition on metal or metallic surfaces relative to dielectric surfaces |
US10121699B2 (en) | 2015-08-05 | 2018-11-06 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US10566185B2 (en) | 2015-08-05 | 2020-02-18 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US10343186B2 (en) | 2015-10-09 | 2019-07-09 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10814349B2 (en) | 2015-10-09 | 2020-10-27 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10695794B2 (en) | 2015-10-09 | 2020-06-30 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US9574104B1 (en) * | 2015-10-16 | 2017-02-21 | Az Electronic Materials (Luxembourg) S.A.R.L. | Compositions and processes for self-assembly of block copolymers |
KR102508525B1 (ko) | 2015-10-19 | 2023-03-09 | 삼성전자주식회사 | 블록 코폴리머 및 이를 이용한 집적회로 소자의 제조 방법 |
KR102405203B1 (ko) * | 2016-01-28 | 2022-06-02 | 도쿄엘렉트론가부시키가이샤 | 금속 산화물의 스핀온 퇴적 방법 |
WO2017138440A1 (ja) * | 2016-02-08 | 2017-08-17 | Jsr株式会社 | コンタクトホールパターンの形成方法及び組成物 |
US9981286B2 (en) | 2016-03-08 | 2018-05-29 | Asm Ip Holding B.V. | Selective formation of metal silicides |
WO2017184357A1 (en) | 2016-04-18 | 2017-10-26 | Asm Ip Holding B.V. | Method of forming a directed self-assembled layer on a substrate |
US10204782B2 (en) * | 2016-04-18 | 2019-02-12 | Imec Vzw | Combined anneal and selective deposition process |
US11081342B2 (en) | 2016-05-05 | 2021-08-03 | Asm Ip Holding B.V. | Selective deposition using hydrophobic precursors |
FR3051965A1 (fr) | 2016-05-27 | 2017-12-01 | Commissariat Energie Atomique | Procede de formation d’un motif de guidage fonctionnalise pour un procede de grapho-epitaxie |
FR3051964B1 (fr) * | 2016-05-27 | 2018-11-09 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Procede de formation d’un motif de guidage fonctionnalise pour un procede de grapho-epitaxie |
FR3051966B1 (fr) * | 2016-05-27 | 2018-11-09 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Procede de formation d’un motif de guidage fonctionnalise pour un procede de grapho-epitaxie |
US10373820B2 (en) | 2016-06-01 | 2019-08-06 | Asm Ip Holding B.V. | Deposition of organic films |
US10453701B2 (en) | 2016-06-01 | 2019-10-22 | Asm Ip Holding B.V. | Deposition of organic films |
US9803277B1 (en) | 2016-06-08 | 2017-10-31 | Asm Ip Holding B.V. | Reaction chamber passivation and selective deposition of metallic films |
US10014212B2 (en) | 2016-06-08 | 2018-07-03 | Asm Ip Holding B.V. | Selective deposition of metallic films |
US11430656B2 (en) | 2016-11-29 | 2022-08-30 | Asm Ip Holding B.V. | Deposition of oxide thin films |
US11094535B2 (en) | 2017-02-14 | 2021-08-17 | Asm Ip Holding B.V. | Selective passivation and selective deposition |
US11501965B2 (en) | 2017-05-05 | 2022-11-15 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of metal oxide thin films |
KR20200007823A (ko) | 2017-05-16 | 2020-01-22 | 에이에스엠 아이피 홀딩 비.브이. | 유전체 상에 옥사이드의 선택적 peald |
US10900120B2 (en) | 2017-07-14 | 2021-01-26 | Asm Ip Holding B.V. | Passivation against vapor deposition |
JP7146690B2 (ja) | 2018-05-02 | 2022-10-04 | エーエスエム アイピー ホールディング ビー.ブイ. | 堆積および除去を使用した選択的層形成 |
CR20190591A (es) | 2018-06-29 | 2020-02-26 | Illumina Cambridge Ltd | Cubetas de lectura |
US11282710B2 (en) * | 2018-08-27 | 2022-03-22 | Versum Materials Us, Llc | Selective deposition on silicon containing surfaces |
JP2020056104A (ja) | 2018-10-02 | 2020-04-09 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
US11965238B2 (en) | 2019-04-12 | 2024-04-23 | Asm Ip Holding B.V. | Selective deposition of metal oxides on metal surfaces |
US11428009B2 (en) | 2019-09-30 | 2022-08-30 | Bmic Llc | Self-sealing roof fastener |
US11139163B2 (en) | 2019-10-31 | 2021-10-05 | Asm Ip Holding B.V. | Selective deposition of SiOC thin films |
CA3164051A1 (en) | 2020-01-13 | 2021-07-22 | Bmic Llc | Impact resistant roofing systems and methods |
TW202140832A (zh) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽在金屬表面上之選擇性沉積 |
TW202140833A (zh) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 相對於金屬表面在介電表面上之氧化矽的選擇性沉積 |
TW202204658A (zh) | 2020-03-30 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 在兩不同表面上同時選擇性沉積兩不同材料 |
Family Cites Families (303)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4623674A (en) * | 1985-06-21 | 1986-11-18 | Union Carbide Corporation | Polymer/polyols of substituted styrenes and polyurethanes made therefrom |
US4877647A (en) * | 1986-04-17 | 1989-10-31 | Kansas State University Research Foundation | Method of coating substrates with solvated clusters of metal particles |
US4797357A (en) | 1986-05-30 | 1989-01-10 | Eastman Kodak Company | Light-stable reducible compounds and analytical compositions, elements and methods utilizing same |
US4818713A (en) | 1987-10-20 | 1989-04-04 | American Telephone And Telegraph Company, At&T Bell Laboratories | Techniques useful in fabricating semiconductor devices having submicron features |
WO1990007575A1 (en) | 1988-12-30 | 1990-07-12 | Anderson David M | Stabilized microporous materials and hydrogel materials |
US5328810A (en) | 1990-05-07 | 1994-07-12 | Micron Technology, Inc. | Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process |
US5354489A (en) | 1990-08-30 | 1994-10-11 | Asahi Kasei Kogyo Kabushiki Kaisha | Method for changing the viscosity of a fluid comprising a liquid crystal compound |
US5622668A (en) * | 1992-02-07 | 1997-04-22 | The United States Of America As Represented By The Secretary Of The Air Force | Method for preparing oriented polymer structures and said structures |
DE69315030D1 (de) | 1992-08-07 | 1997-12-11 | Fujikura Kasei Kk | Elektrosensitive Zusammensetzung |
US5382373A (en) | 1992-10-30 | 1995-01-17 | Lord Corporation | Magnetorheological materials based on alloy particles |
EP0671025B1 (en) | 1992-11-25 | 1997-08-13 | Hoechst Celanese Corporation | Metal ion reduction in bottom anti-reflective coatings for photoresists |
US5482656A (en) * | 1993-03-04 | 1996-01-09 | Kabushiki Kaisha Toshiba | Non-linear optical devices employing a polysilane composition and a polysilane composition therefor |
TW272976B (zh) | 1993-08-06 | 1996-03-21 | Ciba Geigy Ag | |
US6776094B1 (en) | 1993-10-04 | 2004-08-17 | President & Fellows Of Harvard College | Kit For Microcontact Printing |
US5512131A (en) | 1993-10-04 | 1996-04-30 | President And Fellows Of Harvard College | Formation of microstamped patterns on surfaces and derivative articles |
US5538655A (en) * | 1994-06-29 | 1996-07-23 | Arthur D. Little, Inc. | Molecular complexes for use as electrolyte components |
US5607824A (en) | 1994-07-27 | 1997-03-04 | International Business Machines Corporation | Antireflective coating for microlithography |
JPH0867893A (ja) | 1994-08-19 | 1996-03-12 | Lubrizol Corp:The | 極性固体および有機半導体の電気流動性流体 |
US5620850A (en) | 1994-09-26 | 1997-04-15 | President And Fellows Of Harvard College | Molecular recognition at surfaces derivatized with self-assembled monolayers |
US5700902A (en) | 1995-07-27 | 1997-12-23 | Circe Biomedical, Inc. | Block copolymers |
EP0784543B1 (en) | 1995-08-04 | 2000-04-26 | International Business Machines Corporation | Lithographic surface or thin layer modification |
US5772905A (en) | 1995-11-15 | 1998-06-30 | Regents Of The University Of Minnesota | Nanoimprint lithography |
US6309580B1 (en) | 1995-11-15 | 2001-10-30 | Regents Of The University Of Minnesota | Release surfaces, particularly for use in nanoimprint lithography |
US5879853A (en) | 1996-01-18 | 1999-03-09 | Kabushiki Kaisha Toshiba | Top antireflective coating material and its process for DUV and VUV lithography systems |
US6096636A (en) | 1996-02-06 | 2000-08-01 | Micron Technology, Inc. | Methods of forming conductive lines |
EP1124158A1 (en) | 1996-02-26 | 2001-08-16 | Matsushita Electric Industrial Co., Ltd. | Pattern forming material and pattern forming method |
US6190949B1 (en) * | 1996-05-22 | 2001-02-20 | Sony Corporation | Silicon thin film, group of silicon single crystal grains and formation process thereof, and semiconductor device, flash memory cell and fabrication process thereof |
US6143647A (en) | 1997-07-24 | 2000-11-07 | Intel Corporation | Silicon-rich block copolymers to achieve unbalanced vias |
JPH1081889A (ja) | 1996-09-06 | 1998-03-31 | Bridgestone Corp | 電気粘性流体用粉体 |
US5904824A (en) * | 1997-03-07 | 1999-05-18 | Beckman Instruments, Inc. | Microfluidic electrophoresis device |
US5958704A (en) | 1997-03-12 | 1999-09-28 | Ddx, Inc. | Sensing system for specific substance and molecule detection |
US5948470A (en) * | 1997-04-28 | 1999-09-07 | Harrison; Christopher | Method of nanoscale patterning and products made thereby |
US6890624B1 (en) * | 2000-04-25 | 2005-05-10 | Nanogram Corporation | Self-assembled structures |
US6368871B1 (en) * | 1997-08-13 | 2002-04-09 | Cepheid | Non-planar microstructures for manipulation of fluid samples |
JP3321392B2 (ja) | 1997-08-29 | 2002-09-03 | 科学技術振興事業団 | 二重構造連続多孔体とその製造方法 |
US6884842B2 (en) | 1997-10-14 | 2005-04-26 | Alnis Biosciences, Inc. | Molecular compounds having complementary surfaces to targets |
EP1037940B1 (en) | 1997-12-09 | 2004-09-08 | SBA Materials, Inc. | Block polymer processing for mesostructured inorganic oxide materials |
US6111323A (en) * | 1997-12-30 | 2000-08-29 | International Business Machines Corporation | Reworkable thermoplastic encapsulant |
AU755051B2 (en) | 1998-01-07 | 2002-12-05 | Debio Recherche Pharmaceutique S.A. | Degradable heterobifunctional poly(ethylene glycol) acrylates and gels and conjugates derived therefrom |
US6153495A (en) | 1998-03-09 | 2000-11-28 | Intersil Corporation | Advanced methods for making semiconductor devices by low temperature direct bonding |
AU742976B2 (en) | 1998-03-18 | 2002-01-17 | University Of Rochester | Macromolecular self-assembly of microstructures, nanostructures, objects and mesoporous solids |
US7282240B1 (en) | 1998-04-21 | 2007-10-16 | President And Fellows Of Harvard College | Elastomeric mask and use in fabrication of devices |
WO1999064489A1 (fr) | 1998-06-05 | 1999-12-16 | Asahi Kasei Kogyo Kabushiki Kaisha | Copolymere sequence hydrogene et composition de resine de polypropylene contenant celui-ci |
US7074498B2 (en) * | 2002-03-22 | 2006-07-11 | Borealis Technical Limited | Influence of surface geometry on metal properties |
AU4967499A (en) * | 1998-07-02 | 2000-01-24 | Massachusetts Institute Of Technology | Periodic porous and relief nanostructured articles |
US6897073B2 (en) | 1998-07-14 | 2005-05-24 | Zyomyx, Inc. | Non-specific binding resistant protein arrays and methods for making the same |
US6423410B1 (en) | 1998-09-04 | 2002-07-23 | Mds Proteomics, Inc. | Ultrasonically generated paramagnetic polymer particles |
US6713238B1 (en) * | 1998-10-09 | 2004-03-30 | Stephen Y. Chou | Microscale patterning and articles formed thereby |
WO2000031183A1 (en) | 1998-11-24 | 2000-06-02 | The Dow Chemical Company | A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom |
AU778153B2 (en) * | 1998-12-08 | 2004-11-18 | Gene Logic, Inc. | Process for attaching organic molecules to silicon |
US6413587B1 (en) | 1999-03-02 | 2002-07-02 | International Business Machines Corporation | Method for forming polymer brush pattern on a substrate surface |
US6270946B1 (en) | 1999-03-18 | 2001-08-07 | Luna Innovations, Inc. | Non-lithographic process for producing nanoscale features on a substrate |
JP4012173B2 (ja) | 1999-06-07 | 2007-11-21 | 株式会社東芝 | 多孔質構造体の製造方法、多孔質構造体形成材料、パターン形成方法、パターン形成材料、電気化学セル、および中空糸フィルター |
JP4127682B2 (ja) | 1999-06-07 | 2008-07-30 | 株式会社東芝 | パターン形成方法 |
JP3940546B2 (ja) * | 1999-06-07 | 2007-07-04 | 株式会社東芝 | パターン形成方法およびパターン形成材料 |
AU782000B2 (en) * | 1999-07-02 | 2005-06-23 | President And Fellows Of Harvard College | Nanoscopic wire-based devices, arrays, and methods of their manufacture |
US6251791B1 (en) | 1999-07-20 | 2001-06-26 | United Microelectronics Corp. | Eliminating etching microloading effect by in situ deposition and etching |
WO2001017040A1 (en) | 1999-08-31 | 2001-03-08 | E Ink Corporation | A solvent annealing process for forming a thin semiconductor film with advantageous properties |
JP2001110801A (ja) | 1999-10-05 | 2001-04-20 | Takeshi Yao | パターン形成方法、並びに電子素子、光学素子及び回路基板 |
US6423531B1 (en) * | 1999-11-17 | 2002-07-23 | Geovation Technologies, Inc. | Advanced organic-inorganic solid-chemical composition and methods for anaerobic bioremediation |
US6998152B2 (en) | 1999-12-20 | 2006-02-14 | Micron Technology, Inc. | Chemical vapor deposition methods utilizing ionic liquids |
US6517933B1 (en) | 2000-01-18 | 2003-02-11 | Nano-Tex, Llc | Hybrid polymer materials |
US6423465B1 (en) * | 2000-01-28 | 2002-07-23 | International Business Machines Corporation | Process for preparing a patterned continuous polymeric brush on a substrate surface |
US6573030B1 (en) | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US6284657B1 (en) | 2000-02-25 | 2001-09-04 | Chartered Semiconductor Manufacturing Ltd. | Non-metallic barrier formation for copper damascene type interconnects |
US7163712B2 (en) * | 2000-03-03 | 2007-01-16 | Duke University | Microstamping activated polymer surfaces |
US6423474B1 (en) | 2000-03-21 | 2002-07-23 | Micron Technology, Inc. | Use of DARC and BARC in flash memory processing |
AU2001249323A1 (en) * | 2000-03-22 | 2001-10-03 | University Of Massachusetts | Nanocylinder arrays |
US6887332B1 (en) | 2000-04-21 | 2005-05-03 | International Business Machines Corporation | Patterning solution deposited thin films with self-assembled monolayers |
US7491286B2 (en) | 2000-04-21 | 2009-02-17 | International Business Machines Corporation | Patterning solution deposited thin films with self-assembled monolayers |
US7291284B2 (en) * | 2000-05-26 | 2007-11-06 | Northwestern University | Fabrication of sub-50 nm solid-state nanostructures based on nanolithography |
US6503841B1 (en) * | 2000-07-07 | 2003-01-07 | Agere Systems Inc. | Oxide etch |
US6414164B1 (en) | 2000-07-12 | 2002-07-02 | International Business Machines Corporation | Synthesis of soluble derivatives of sexithiophene and their use as the semiconducting channels in thin-film filed-effect transistors |
WO2002018080A1 (fr) | 2000-08-03 | 2002-03-07 | Upepo & Maji Inc. | Composition de solution colloidale metallique et conducteur ou encre destine a la formation d'un motif semi-conducteur la renfermant, et procede de formation d'un motif conducteur ou semi-conducteur |
JP3591827B2 (ja) | 2000-08-11 | 2004-11-24 | 株式会社東芝 | 微細構造を有する成形体の製造方法 |
JP2002083949A (ja) | 2000-09-07 | 2002-03-22 | Nec Corp | Cmosイメージセンサ及びその製造方法 |
US20020084429A1 (en) | 2000-10-17 | 2002-07-04 | Craighead Harold G. | Electron-beam patterning of functionalized self-assembled monolayers |
AU2002220077A1 (en) | 2000-11-14 | 2002-05-27 | The Regents Of The University Of California | Inorganic/block copolymer-dye composites and dye doped mesoporous materials for optical and sensing applications |
US6358813B1 (en) * | 2000-11-15 | 2002-03-19 | International Business Machines Corporation | Method for increasing the capacitance of a semiconductor capacitors |
NL1016779C2 (nl) | 2000-12-02 | 2002-06-04 | Cornelis Johannes Maria V Rijn | Matrijs, werkwijze voor het vervaardigen van precisieproducten met behulp van een matrijs, alsmede precisieproducten, in het bijzonder microzeven en membraanfilters, vervaardigd met een dergelijke matrijs. |
US6432811B1 (en) * | 2000-12-20 | 2002-08-13 | Intel Corporation | Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures |
FR2818650B1 (fr) * | 2000-12-21 | 2003-02-07 | Atofina | Procede d'hydrogenation de copolymeres a blocs insatures et copolymeres a blocs hydrogenes |
US6518194B2 (en) | 2000-12-28 | 2003-02-11 | Thomas Andrew Winningham | Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation |
US7205161B2 (en) | 2001-01-10 | 2007-04-17 | Symyx Technologies, Inc. | Polymer brushes for immobilizing molecules to a surface or substrate having improved stability |
US6566248B1 (en) * | 2001-01-11 | 2003-05-20 | Advanced Micro Devices, Inc. | Graphoepitaxial conductor cores in integrated circuit interconnects |
US6913697B2 (en) * | 2001-02-14 | 2005-07-05 | Science & Technology Corporation @ Unm | Nanostructured separation and analysis devices for biological membranes |
CA2451882A1 (en) | 2001-03-14 | 2002-09-19 | University Of Massachusetts | Nanofabrication |
US6537920B1 (en) | 2001-03-16 | 2003-03-25 | Advanced Micro Devices, Inc. | Formation of vertical transistors using block copolymer lithography |
US6817293B2 (en) | 2001-03-28 | 2004-11-16 | Dainippon Printing Co., Ltd. | Patterning method with micro-contact printing and its printed product |
WO2002079269A1 (en) * | 2001-03-30 | 2002-10-10 | Uab Research Foundation | Polymer formation in room temperature ionic liquids |
DE60236642D1 (de) | 2001-04-06 | 2010-07-22 | Univ Carnegie Mellon | Verfahren zur herstellung von nanostrukturierten materialien |
WO2002085639A1 (en) | 2001-04-25 | 2002-10-31 | The Trustees Of Columbia University In The City Of New York | Edge transfer lithography |
US20020158432A1 (en) | 2001-04-30 | 2002-10-31 | Wain Amir Waheed | Infocart |
US6809210B2 (en) | 2001-06-12 | 2004-10-26 | Lucent Technologies Inc. | Method of solvating a metal in an aromatic organic liquid |
KR100448170B1 (ko) * | 2001-06-23 | 2004-09-10 | 주식회사 태평양 | 폴리에틸렌이민을 친수성 블록으로 갖고 폴리에스테르계고분자를 소수성 블록으로 갖는 양친성 생분해성 블록공중합체 및 이를 이용한 수용액 상에서의 고분자자기조합 회합체 |
EP1405356B1 (en) | 2001-07-09 | 2013-07-31 | Plastic Logic Limited | Solution influenced alignment |
US6444318B1 (en) * | 2001-07-17 | 2002-09-03 | Surmodics, Inc. | Self assembling monolayer compositions |
DE10142691B4 (de) | 2001-08-31 | 2006-04-20 | Infineon Technologies Ag | Verfahren zum Nachweis biochemischer Reaktionen sowie eine Vorrichtung hierfür |
US6751491B2 (en) * | 2001-09-01 | 2004-06-15 | M Biotech Inc | Analyte measuring biosensor chip using image scanning system |
DE10145747A1 (de) | 2001-09-17 | 2003-04-03 | Solvent Innovation Gmbh | Ionische Flüssigkeiten |
US6746825B2 (en) * | 2001-10-05 | 2004-06-08 | Wisconsin Alumni Research Foundation | Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates |
US20030108664A1 (en) | 2001-10-05 | 2003-06-12 | Kodas Toivo T. | Methods and compositions for the formation of recessed electrical features on a substrate |
US20030080472A1 (en) | 2001-10-29 | 2003-05-01 | Chou Stephen Y. | Lithographic method with bonded release layer for molding small patterns |
US20040058059A1 (en) | 2001-11-07 | 2004-03-25 | Linford Mathew Richard | Funtionalized patterned surfaces |
CA2467703A1 (en) | 2001-11-21 | 2003-06-05 | University Of Massachusetts | Mesoporous materials and methods |
JP3967114B2 (ja) * | 2001-11-22 | 2007-08-29 | 株式会社東芝 | 加工方法 |
US7087267B2 (en) | 2001-11-29 | 2006-08-08 | International Business Machines Corporation | Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization |
JP3782357B2 (ja) | 2002-01-18 | 2006-06-07 | 株式会社東芝 | 半導体発光素子の製造方法 |
US7115305B2 (en) * | 2002-02-01 | 2006-10-03 | California Institute Of Technology | Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials |
US6958572B2 (en) * | 2002-02-06 | 2005-10-25 | Ut-Battelle Llc | Controlled non-normal alignment of catalytically grown nanostructures in a large-scale synthesis process |
EP1483427A1 (en) * | 2002-02-11 | 2004-12-08 | Rensselaer Polytechnic Institute | Directed assembly of highly-organized carbon nanotube architectures |
US7060774B2 (en) | 2002-02-28 | 2006-06-13 | Merck Patent Gesellschaft | Prepolymer material, polymer material, imprinting process and their use |
US6890703B2 (en) * | 2002-03-06 | 2005-05-10 | International Business Machines Corporation | Preparation of crosslinked particles from polymers having activatible crosslinking groups |
US6946332B2 (en) | 2002-03-15 | 2005-09-20 | Lucent Technologies Inc. | Forming nanoscale patterned thin film metal layers |
US7807348B2 (en) | 2002-03-20 | 2010-10-05 | Wisconsin Alumni Research Foundation | Optical imaging of nanostructured substrates |
US20030178707A1 (en) | 2002-03-21 | 2003-09-25 | Abbott Donald C. | Preplated stamped small outline no-lead leadframes having etched profiles |
US6765030B2 (en) | 2002-03-22 | 2004-07-20 | The University Of North Carolina At Chapel Hill | Methods of forming polymeric structures using carbon dioxide and polymeric structures formed therapy |
US20040142578A1 (en) | 2002-03-28 | 2004-07-22 | Ulrich Wiesner | Thin film nanostructures |
JP2004005923A (ja) | 2002-03-29 | 2004-01-08 | Fujitsu Ltd | 磁気ヘッドの製造方法および磁気ヘッド、パターン形成方法 |
US6872645B2 (en) | 2002-04-02 | 2005-03-29 | Nanosys, Inc. | Methods of positioning and/or orienting nanostructures |
US6656308B2 (en) | 2002-04-22 | 2003-12-02 | International Business Machines Corporation | Process of fabricating a precision microcontact printing stamp |
US7135241B2 (en) | 2002-05-24 | 2006-11-14 | Board Of Regents, The University Of Texas System | Light-emitting block copolymers composition, process and use |
US7307343B2 (en) * | 2002-05-30 | 2007-12-11 | Air Products And Chemicals, Inc. | Low dielectric materials and methods for making same |
US6753250B1 (en) | 2002-06-12 | 2004-06-22 | Novellus Systems, Inc. | Method of fabricating low dielectric constant dielectric films |
US20030235930A1 (en) | 2002-06-25 | 2003-12-25 | Lucent Technologies Inc. | Multi-impression nanofeature production |
US6908861B2 (en) | 2002-07-11 | 2005-06-21 | Molecular Imprints, Inc. | Method for imprint lithography using an electric field |
US7077992B2 (en) | 2002-07-11 | 2006-07-18 | Molecular Imprints, Inc. | Step and repeat imprint lithography processes |
US6932934B2 (en) | 2002-07-11 | 2005-08-23 | Molecular Imprints, Inc. | Formation of discontinuous films during an imprint lithography process |
US7311943B2 (en) | 2002-07-17 | 2007-12-25 | Massachusetts Institute Of Technology | Templated monolayer polymerization and replication |
US20050008828A1 (en) | 2002-07-25 | 2005-01-13 | Trustees Of Stevens Institute Of Technology | Patterned polymer microgel and method of forming same |
US6767693B1 (en) | 2002-07-30 | 2004-07-27 | Advanced Micro Devices, Inc. | Materials and methods for sub-lithographic patterning of contact, via, and trench structures in integrated circuit devices |
US6957608B1 (en) | 2002-08-02 | 2005-10-25 | Kovio, Inc. | Contact print methods |
EP1387169B1 (en) | 2002-08-02 | 2006-05-24 | Sony Deutschland GmbH | Method of attaching hydrophilic species to hydrophilic macromolecules and immobilizing the hydrophilic macromolecules on a hydrophobic surface |
US20040125266A1 (en) * | 2002-10-30 | 2004-07-01 | Akihiro Miyauchi | Functioning substrate with a group of columnar micro pillars and its manufacturing method |
US20040084298A1 (en) * | 2002-10-31 | 2004-05-06 | Y.D. Yao | Fabrication of nanocomposite thin films for high density magnetic recording media |
TW584670B (en) | 2002-10-31 | 2004-04-21 | Academia Sinica | Fabrication of nanocomposite thin films for high density magnetic recording media |
US6949456B2 (en) * | 2002-10-31 | 2005-09-27 | Asm Japan K.K. | Method for manufacturing semiconductor device having porous structure with air-gaps |
US6911400B2 (en) | 2002-11-05 | 2005-06-28 | International Business Machines Corporation | Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same |
JP2006505686A (ja) | 2002-11-07 | 2006-02-16 | ロディア・シミ | 両性又は双極性イオン性部分を含む制御された構造のコポリマー |
US6699797B1 (en) * | 2002-12-17 | 2004-03-02 | Intel Corporation | Method of fabrication of low dielectric constant porous metal silicate films |
US6930034B2 (en) | 2002-12-27 | 2005-08-16 | International Business Machines Corporation | Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence |
US20040124092A1 (en) | 2002-12-30 | 2004-07-01 | Black Charles T. | Inorganic nanoporous membranes and methods to form same |
US7078276B1 (en) | 2003-01-08 | 2006-07-18 | Kovio, Inc. | Nanoparticles and method for making the same |
US6940485B2 (en) | 2003-01-15 | 2005-09-06 | Xerox Corporation | Flexible micron-thin display device |
TWI323479B (en) | 2003-02-12 | 2010-04-11 | Nantero Inc | Devices having horizontally-disposed nanofabric articles and methods of making the same |
ATE472804T1 (de) | 2003-02-12 | 2010-07-15 | Nantero Inc | Einrichtungen mit vertikal angeordneten nanofabric-artikeln und verfahren zu ihrer herstellung |
US7066801B2 (en) | 2003-02-21 | 2006-06-27 | Dow Global Technologies, Inc. | Method of manufacturing a fixed abrasive material |
US7001795B2 (en) | 2003-02-27 | 2006-02-21 | Micron Technology, Inc. | Total internal reflection (TIR) CMOS imager |
TW582059B (en) | 2003-03-11 | 2004-04-01 | Ind Tech Res Inst | Organic component, method for forming organic semiconductor layer with aligned molecules, and method for forming organic component |
US7326514B2 (en) | 2003-03-12 | 2008-02-05 | Cornell Research Foundation, Inc. | Organoelement resists for EUV lithography and methods of making the same |
US7135523B2 (en) * | 2003-03-14 | 2006-11-14 | Industrial Technology Research Institute | Nanoscale helical microstructures and channels from chiral poly(L-lactide) block containing block copolymers |
US6812132B2 (en) | 2003-03-21 | 2004-11-02 | Intel Corporation | Filling small dimension vias using supercritical carbon dioxide |
KR100618184B1 (ko) | 2003-03-31 | 2006-08-31 | 비오이 하이디스 테크놀로지 주식회사 | 결정화 방법 |
US7112617B2 (en) | 2003-04-22 | 2006-09-26 | International Business Machines Corporation | Patterned substrate with hydrophilic/hydrophobic contrast, and method of use |
JP2004335962A (ja) * | 2003-05-12 | 2004-11-25 | Seiko Epson Corp | 薄膜パターン形成方法、デバイスとその製造方法及び電気光学装置並びに電子機器 |
EP1479738A1 (en) | 2003-05-20 | 2004-11-24 | DSM IP Assets B.V. | Hydrophobic coatings comprising reactive nano-particles |
US20060124467A1 (en) | 2003-05-20 | 2006-06-15 | Industrial Technology Research Institute | Metal nanodot arrays and fabrication methods thereof |
US7632544B2 (en) | 2003-05-20 | 2009-12-15 | Industrial Technology Research Institute | Nanopatterned templates from oriented degradable diblock copolymer thin films |
US6989426B2 (en) * | 2003-06-12 | 2006-01-24 | The Hong Kong Polytechnic University | Methods for producing di-block polymers |
US7009227B2 (en) | 2003-06-16 | 2006-03-07 | Micron Technology, Inc. | Photodiode structure and image pixel structure |
US7045851B2 (en) | 2003-06-20 | 2006-05-16 | International Business Machines Corporation | Nonvolatile memory device using semiconductor nanocrystals and method of forming same |
GB2403847B (en) | 2003-07-01 | 2005-11-16 | Micron Technology Inc | Optical channels for multi-level metal optical imagers and method for manufacturing same |
US20050238889A1 (en) | 2003-07-10 | 2005-10-27 | Nancy Iwamoto | Layered components, materials, methods of production and uses thereof |
US7132370B2 (en) * | 2003-08-01 | 2006-11-07 | Interuniversitair Microelektronica Centrum (Imec) | Method for selective removal of high-k material |
GB0318817D0 (en) | 2003-08-11 | 2003-09-10 | Univ Cambridge Tech | Method of making a polymer device |
US7361991B2 (en) | 2003-09-19 | 2008-04-22 | International Business Machines Corporation | Closed air gap interconnect structure |
ATE383577T1 (de) | 2003-09-23 | 2008-01-15 | Wisconsin Alumni Res Found | Verwendung von flüssigkristallen zum nachweis von affinitätsmikrokontakt-gedruckten biomolekülen |
US7374867B2 (en) | 2003-10-06 | 2008-05-20 | Intel Corporation | Enhancing photoresist performance using electric fields |
US7407887B2 (en) * | 2003-10-16 | 2008-08-05 | The Regents Of The University Of California | Nanostructures, nanogrooves, and nanowires |
US7862849B2 (en) | 2003-10-17 | 2011-01-04 | Massachusetts Institute Of Technology | Nanocontact printing |
US7122482B2 (en) * | 2003-10-27 | 2006-10-17 | Molecular Imprints, Inc. | Methods for fabricating patterned features utilizing imprint lithography |
GB0325748D0 (en) * | 2003-11-05 | 2003-12-10 | Koninkl Philips Electronics Nv | A method of forming a patterned layer on a substrate |
US7056757B2 (en) * | 2003-11-25 | 2006-06-06 | Georgia Tech Research Corporation | Methods of forming oxide masks with submicron openings and microstructures formed thereby |
US20050133697A1 (en) | 2003-12-23 | 2005-06-23 | Potyrailo Radislav A. | Sensor devices containing co-polymer substrates for analysis of chemical and biological species in water and air |
US7423164B2 (en) | 2003-12-31 | 2008-09-09 | Ut-Battelle, Llc | Synthesis of ionic liquids |
US6989324B2 (en) | 2004-01-15 | 2006-01-24 | The Regents Of The University Of California | Fabrication method for arranging ultra-fine particles |
US7056849B2 (en) * | 2004-01-16 | 2006-06-06 | General Electric Company | Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making |
US7405147B2 (en) | 2004-01-30 | 2008-07-29 | International Business Machines Corporation | Device and methodology for reducing effective dielectric constant in semiconductor devices |
DE102004006545B3 (de) | 2004-02-10 | 2005-08-11 | Infineon Technologies Ag | Verfahren zum Aufweiten eines Grabens in einer Halbleiterstruktur |
US7030495B2 (en) * | 2004-03-19 | 2006-04-18 | International Business Machines Corporation | Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby |
CN100429142C (zh) | 2004-03-24 | 2008-10-29 | 哈尔滨工业大学 | 面向纳米微加工嵌段共聚物模板自组装形态调控方法 |
US7015113B2 (en) | 2004-04-01 | 2006-03-21 | Micron Technology, Inc. | Methods of forming trench isolation regions |
US20060013956A1 (en) * | 2004-04-20 | 2006-01-19 | Angelescu Dan E | Method and apparatus for providing shear-induced alignment of nanostructure in thin films |
EP1742893B1 (en) | 2004-04-27 | 2012-10-10 | The Board Of Trustees Of The University Of Illinois | Composite patterning devices for soft lithography |
US7244665B2 (en) | 2004-04-29 | 2007-07-17 | Micron Technology, Inc. | Wafer edge ring structures and methods of formation |
US7625694B2 (en) | 2004-05-06 | 2009-12-01 | Micron Technology, Inc. | Selective provision of a diblock copolymer material |
TWI256110B (en) | 2004-05-18 | 2006-06-01 | Ind Tech Res Inst | Nanopatterned templates from oriented degradable diblock copolymer thin films |
WO2006076016A2 (en) | 2004-05-21 | 2006-07-20 | Krzysztof Matyjaszewski | Conducting polymers |
CN102683391B (zh) * | 2004-06-04 | 2015-11-18 | 伊利诺伊大学评议会 | 用于制造并组装可印刷半导体元件的方法和设备 |
WO2006003592A2 (en) | 2004-06-30 | 2006-01-12 | Koninklijke Philips Electronics N.V. | Soft lithographic stamp with a chemically patterned surface |
CN101198902A (zh) | 2004-06-30 | 2008-06-11 | 皇家飞利浦电子股份有限公司 | 具有化学构图表面的软平版印刷印模 |
US7387939B2 (en) | 2004-07-19 | 2008-06-17 | Micron Technology, Inc. | Methods of forming semiconductor structures and capacitor devices |
JP4389055B2 (ja) | 2004-07-27 | 2009-12-24 | 独立行政法人産業技術総合研究所 | ブロック共重合体−クレイナノコンポジットの高配向膜およびその製造方法 |
US8088293B2 (en) | 2004-07-29 | 2012-01-03 | Micron Technology, Inc. | Methods of forming reticles configured for imprint lithography |
US20060030495A1 (en) | 2004-08-06 | 2006-02-09 | Gregg George L Jr | Bullet lubrication formula |
JP2006055982A (ja) | 2004-08-23 | 2006-03-02 | Ind Technol Res Inst | 組織化分解ジブロックコポリマー薄膜からのナノパターン化テンプレート |
KR20060020830A (ko) | 2004-09-01 | 2006-03-07 | 삼성코닝 주식회사 | 계면활성제를 템플릿으로 이용한 저유전성 메조포러스박막의 제조방법 |
US7115525B2 (en) | 2004-09-02 | 2006-10-03 | Micron Technology, Inc. | Method for integrated circuit fabrication using pitch multiplication |
US20060057051A1 (en) | 2004-09-10 | 2006-03-16 | Sheng Dai | Highly ordered porous carbon materials having well defined nanostructures and method of synthesis |
US20060060863A1 (en) * | 2004-09-22 | 2006-03-23 | Jennifer Lu | System and method for controlling nanostructure growth |
JP3926360B2 (ja) | 2004-10-13 | 2007-06-06 | 株式会社東芝 | パターン形成方法およびそれを用いた構造体の加工方法 |
US7196314B2 (en) | 2004-11-09 | 2007-03-27 | Omnivision Technologies, Inc. | Image sensor and pixel having an anti-reflective coating over the photodiode |
EP1657070B1 (en) | 2004-11-10 | 2008-04-23 | Sony Deutschland GmbH | A stamp for soft lithography, in particular micro contact printing and a method of preparing the same |
US7323387B2 (en) * | 2004-11-12 | 2008-01-29 | Seagate Technology Llc | Method to make nano structure below 25 nanometer with high uniformity on large scale |
EP1827717A4 (en) | 2004-11-22 | 2011-11-23 | Wisconsin Alumni Res Found | METHOD AND COMPOSITIONS FOR FORMING APERIODICALLY PATTERNED COPOLYMER FILMS |
US20080032238A1 (en) | 2004-11-23 | 2008-02-07 | Lu Jennifer Q | System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth |
US20060128165A1 (en) | 2004-12-13 | 2006-06-15 | 3M Innovative Properties Company | Method for patterning surface modification |
US7666465B2 (en) | 2004-12-29 | 2010-02-23 | Intel Corporation | Introducing nanotubes in trenches and structures formed thereby |
WO2006078952A1 (en) | 2005-01-21 | 2006-07-27 | University Of California | Methods for fabricating a long-range ordered periodic array of nano-features, and articles comprising same |
DE102005005325B4 (de) | 2005-02-04 | 2011-12-15 | Adesto Technology Corp., Inc. | Verfahren zur Herstellung einer resistiv schaltenden nicht-flüchtigen Speicherzelle |
US7341788B2 (en) | 2005-03-11 | 2008-03-11 | International Business Machines Corporation | Materials having predefined morphologies and methods of formation thereof |
US7514764B2 (en) | 2005-03-23 | 2009-04-07 | Wisconsin Alumni Research Foundation | Materials and methods for creating imaging layers |
US7855046B2 (en) | 2005-04-07 | 2010-12-21 | The University Of North Carolina At Charlotte | Method and apparatus for fabricating shaped structures and shaped structures including one- , two- or three-dimensional patterns incorporated therein |
KR100634327B1 (ko) | 2005-04-13 | 2006-10-13 | 한국기계연구원 | 롤-투-롤 윤전인쇄방식을 이용한 전자소자의 제조방법 및그 제조장치 |
KR20060113463A (ko) | 2005-04-27 | 2006-11-02 | 히다치 막셀 가부시키가이샤 | 폴리머 기재의 표면개질방법, 폴리머 기재에 도금막을형성하는 방법, 폴리머부재의 제조방법 및 코팅부재 |
US20060249784A1 (en) | 2005-05-06 | 2006-11-09 | International Business Machines Corporation | Field effect transistor device including an array of channel elements and methods for forming |
US7767129B2 (en) | 2005-05-11 | 2010-08-03 | Micron Technology, Inc. | Imprint templates for imprint lithography, and methods of patterning a plurality of substrates |
US7371684B2 (en) | 2005-05-16 | 2008-05-13 | International Business Machines Corporation | Process for preparing electronics structures using a sacrificial multilayer hardmask scheme |
US8399057B2 (en) | 2005-06-08 | 2013-03-19 | The Regents Of The University Of California | Ordered vertically oriented porous inorganic films produced through solution processing |
US7396781B2 (en) | 2005-06-09 | 2008-07-08 | Micron Technology, Inc. | Method and apparatus for adjusting feature size and position |
KR100668846B1 (ko) | 2005-06-10 | 2007-01-16 | 주식회사 하이닉스반도체 | 상변환 기억 소자의 제조방법 |
MX2007016039A (es) | 2005-06-17 | 2008-10-27 | Univ North Carolina | Metodos, sistemas y materiales de fabricacion de nanoparticulas. |
US7771917B2 (en) | 2005-06-17 | 2010-08-10 | Micron Technology, Inc. | Methods of making templates for use in imprint lithography |
US7118784B1 (en) | 2005-06-27 | 2006-10-10 | The Regents Of The University Of California | Method and apparatus for controlling nucleation in self-assembled films |
US7507618B2 (en) | 2005-06-27 | 2009-03-24 | 3M Innovative Properties Company | Method for making electronic devices using metal oxide nanoparticles |
US7776715B2 (en) | 2005-07-26 | 2010-08-17 | Micron Technology, Inc. | Reverse construction memory cell |
US7306083B2 (en) | 2005-07-27 | 2007-12-11 | Gm Global Technology Operations, Inc. | Magnetorheological fluid device |
CA2618404A1 (en) | 2005-08-04 | 2007-02-15 | Angiotech International Ag | Block copolymer compositions and uses thereof |
US20070045642A1 (en) | 2005-08-25 | 2007-03-01 | Micron Technology, Inc. | Solid-state imager and formation method using anti-reflective film for optical crosstalk reduction |
US7456928B2 (en) | 2005-08-29 | 2008-11-25 | Micron Technology, Inc. | Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography |
EP1760527B1 (en) | 2005-09-05 | 2012-06-06 | DWI an der RWTH Aachen e.V. | Photochemical method for manufacturing nanometrically surface-decorated substrates |
JP4598639B2 (ja) | 2005-09-27 | 2010-12-15 | Okiセミコンダクタ株式会社 | 半導体装置の製造方法 |
US20070183025A1 (en) | 2005-10-31 | 2007-08-09 | Koji Asakawa | Short-wavelength polarizing elements and the manufacture and use thereof |
WO2007055041A1 (ja) | 2005-11-10 | 2007-05-18 | National University Corporation Kyoto Institute Of Technology | 配向したシリンダー構造を有するブロック共重合体膜およびその製造方法 |
US20070122749A1 (en) | 2005-11-30 | 2007-05-31 | Fu Peng F | Method of nanopatterning, a resist film for use therein, and an article including the resist film |
EP1811524B1 (en) | 2006-01-18 | 2008-04-16 | Consiglio Nazionale Delle Ricerche | Nanometric device for the measurement of the conductivity and quantum effects of individual molecules and methods for the manufacture and use thereof |
KR20080083674A (ko) | 2006-01-20 | 2008-09-18 | 플렉스트로닉스, 인크 | 폴리티오펜을 포함하는 정전기 코팅 및 물품 |
JP2007194175A (ja) | 2006-01-23 | 2007-08-02 | Seiko Epson Corp | 導体パターン用インク、導体パターン、配線基板及び電気光学装置並びに電子機器 |
US7347953B2 (en) | 2006-02-02 | 2008-03-25 | International Business Machines Corporation | Methods for forming improved self-assembled patterns of block copolymers |
US20080073743A1 (en) | 2006-02-17 | 2008-03-27 | Lockheed Martin Corporation | Templated growth of semiconductor nanostructures, related devices and methods |
US20070194403A1 (en) | 2006-02-23 | 2007-08-23 | International Business Machines Corporation | Methods for fabricating semiconductor device structures with reduced susceptibility to latch-up and semiconductor device structures formed by the methods |
US20070208159A1 (en) | 2006-03-02 | 2007-09-06 | General Electric Company | Poly(arylene ether) block copolymer compositions, methods, and articles |
US7579278B2 (en) | 2006-03-23 | 2009-08-25 | Micron Technology, Inc. | Topography directed patterning |
US20070222995A1 (en) * | 2006-03-27 | 2007-09-27 | Jennifer Lu | Artifact having a textured metal surface with nanometer-scale features and method for fabricating same |
KR100753542B1 (ko) | 2006-04-19 | 2007-08-30 | 삼성전자주식회사 | 수지 조성물, 이를 이용한 패턴 형성 방법 및 커패시터형성 방법 |
WO2007136351A1 (en) | 2006-05-22 | 2007-11-29 | Nanyang Technological University | Solution-processed inorganic films for organic thin film transistors |
US7723009B2 (en) * | 2006-06-02 | 2010-05-25 | Micron Technology, Inc. | Topography based patterning |
US20070289943A1 (en) * | 2006-06-14 | 2007-12-20 | Jennifer Lu | Block copolymer mask for defining nanometer-scale structures |
US7605081B2 (en) * | 2006-06-19 | 2009-10-20 | International Business Machines Corporation | Sub-lithographic feature patterning using self-aligned self-assembly polymers |
JP4673266B2 (ja) * | 2006-08-03 | 2011-04-20 | 日本電信電話株式会社 | パターン形成方法及びモールド |
US20080038467A1 (en) | 2006-08-11 | 2008-02-14 | Eastman Kodak Company | Nanostructured pattern method of manufacture |
JP4421582B2 (ja) * | 2006-08-15 | 2010-02-24 | 株式会社東芝 | パターン形成方法 |
US20080047930A1 (en) | 2006-08-23 | 2008-02-28 | Graciela Beatriz Blanchet | Method to form a pattern of functional material on a substrate |
KR100739000B1 (ko) | 2006-09-11 | 2007-07-12 | 삼성전자주식회사 | 상변화 기억 소자의 형성 방법 |
KR100771886B1 (ko) | 2006-09-27 | 2007-11-01 | 삼성전자주식회사 | 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법 |
US7658773B2 (en) | 2006-09-29 | 2010-02-09 | Qimonda Ag | Method for fabricating a solid electrolyte memory device and solid electrolyte memory device |
TWI311337B (en) | 2006-10-02 | 2009-06-21 | Au Optronics Corporatio | Multi-domain vertical alignment pixel structure and fabrication method thereof |
US7592247B2 (en) * | 2006-10-04 | 2009-09-22 | International Business Machines Corporation | Sub-lithographic local interconnects, and methods for forming same |
US7553760B2 (en) * | 2006-10-19 | 2009-06-30 | International Business Machines Corporation | Sub-lithographic nano interconnect structures, and method for forming same |
US8343578B2 (en) * | 2006-10-30 | 2013-01-01 | International Business Machines Corporation | Self-assembled lamellar microdomains and method of alignment |
US7560222B2 (en) | 2006-10-31 | 2009-07-14 | International Business Machines Corporation | Si-containing polymers for nano-pattern device fabrication |
US7514339B2 (en) * | 2007-01-09 | 2009-04-07 | International Business Machines Corporation | Method for fabricating shallow trench isolation structures using diblock copolymer patterning |
KR20080069000A (ko) | 2007-01-22 | 2008-07-25 | 삼성전자주식회사 | 액정 표시 장치 |
US8394483B2 (en) * | 2007-01-24 | 2013-03-12 | Micron Technology, Inc. | Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly |
US7767099B2 (en) | 2007-01-26 | 2010-08-03 | International Business Machines Corporaiton | Sub-lithographic interconnect patterning using self-assembling polymers |
WO2008096335A2 (en) | 2007-02-07 | 2008-08-14 | Yeda Research And Development Co. Ltd. | Producing an array of nanoscale structures on a substrate surface via a self-assembled template |
US7964107B2 (en) | 2007-02-08 | 2011-06-21 | Micron Technology, Inc. | Methods using block copolymer self-assembly for sub-lithographic patterning |
US8083953B2 (en) | 2007-03-06 | 2011-12-27 | Micron Technology, Inc. | Registered structure formation via the application of directed thermal energy to diblock copolymer films |
US8557128B2 (en) | 2007-03-22 | 2013-10-15 | Micron Technology, Inc. | Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers |
US7999160B2 (en) | 2007-03-23 | 2011-08-16 | International Business Machines Corporation | Orienting, positioning, and forming nanoscale structures |
US20080233297A1 (en) | 2007-03-23 | 2008-09-25 | Xerox Corporation | Methods of forming a photoreceptor device having a self-assembled patterned binder layer |
US7888228B2 (en) | 2007-04-05 | 2011-02-15 | Adesto Technology Corporation | Method of manufacturing an integrated circuit, an integrated circuit, and a memory module |
US8294139B2 (en) | 2007-06-21 | 2012-10-23 | Micron Technology, Inc. | Multilayer antireflection coatings, structures and devices including the same and methods of making the same |
US8097175B2 (en) | 2008-10-28 | 2012-01-17 | Micron Technology, Inc. | Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure |
US7959975B2 (en) | 2007-04-18 | 2011-06-14 | Micron Technology, Inc. | Methods of patterning a substrate |
US8372295B2 (en) * | 2007-04-20 | 2013-02-12 | Micron Technology, Inc. | Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method |
DE102007024653A1 (de) | 2007-05-26 | 2008-12-04 | Forschungszentrum Karlsruhe Gmbh | Stempel für das Mikrokontaktdrucken und Verfahren zu seiner Herstellung |
US8404124B2 (en) | 2007-06-12 | 2013-03-26 | Micron Technology, Inc. | Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces |
US8080615B2 (en) | 2007-06-19 | 2011-12-20 | Micron Technology, Inc. | Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide |
KR101291223B1 (ko) | 2007-08-09 | 2013-07-31 | 한국과학기술원 | 블록 공중합체를 이용한 미세 패턴 형성 방법 |
US7732533B2 (en) | 2007-08-31 | 2010-06-08 | Micron Technology, Inc. | Zwitterionic block copolymers and methods |
US8083958B2 (en) | 2007-12-05 | 2011-12-27 | International Business Machines Corporation | Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques |
US7989026B2 (en) * | 2008-01-12 | 2011-08-02 | International Business Machines Corporation | Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films |
US8999492B2 (en) | 2008-02-05 | 2015-04-07 | Micron Technology, Inc. | Method to produce nanometer-sized features with directed assembly of block copolymers |
US8101261B2 (en) | 2008-02-13 | 2012-01-24 | Micron Technology, Inc. | One-dimensional arrays of block copolymer cylinders and applications thereof |
US7696085B2 (en) | 2008-02-20 | 2010-04-13 | International Business Machines Corporation | Dual damascene metal interconnect structure having a self-aligned via |
US7906031B2 (en) | 2008-02-22 | 2011-03-15 | International Business Machines Corporation | Aligning polymer films |
US8168468B2 (en) | 2008-02-29 | 2012-05-01 | Freescale Semiconductor, Inc. | Method of making a semiconductor device including a bridgeable material |
US8426313B2 (en) | 2008-03-21 | 2013-04-23 | Micron Technology, Inc. | Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference |
US8425982B2 (en) | 2008-03-21 | 2013-04-23 | Micron Technology, Inc. | Methods of improving long range order in self-assembly of block copolymer films with ionic liquids |
US8114300B2 (en) | 2008-04-21 | 2012-02-14 | Micron Technology, Inc. | Multi-layer method for formation of registered arrays of cylindrical pores in polymer films |
US8114301B2 (en) * | 2008-05-02 | 2012-02-14 | Micron Technology, Inc. | Graphoepitaxial self-assembly of arrays of downward facing half-cylinders |
US8114468B2 (en) | 2008-06-18 | 2012-02-14 | Boise Technology, Inc. | Methods of forming a non-volatile resistive oxide memory array |
US8211737B2 (en) | 2008-09-19 | 2012-07-03 | The University Of Massachusetts | Method of producing nanopatterned articles, and articles produced thereby |
US8088551B2 (en) | 2008-10-09 | 2012-01-03 | Micron Technology, Inc. | Methods of utilizing block copolymer to form patterns |
US8173034B2 (en) | 2008-11-17 | 2012-05-08 | Micron Technology, Inc. | Methods of utilizing block copolymer to form patterns |
US8314206B2 (en) | 2008-12-02 | 2012-11-20 | Micron Technology, Inc. | Block copolymer-comprising compositions and methods of purifying PS-b-PXVP |
JP5281386B2 (ja) | 2008-12-22 | 2013-09-04 | 株式会社日立製作所 | 高分子薄膜及びパターン媒体並びにこれらの製造方法 |
US8114306B2 (en) | 2009-05-22 | 2012-02-14 | International Business Machines Corporation | Method of forming sub-lithographic features using directed self-assembly of polymers |
US8834956B2 (en) | 2009-06-22 | 2014-09-16 | Micron Technology, Inc. | Methods of utilizing block copolymer to form patterns |
US8206601B2 (en) | 2009-12-18 | 2012-06-26 | Hitachi Global Storage Technologies Netherlands B.V. | Supporting membranes on nanometer-scale self-assembled films |
US20120135159A1 (en) | 2010-11-30 | 2012-05-31 | Seagate Technology Llc | System and method for imprint-guided block copolymer nano-patterning |
US20120164389A1 (en) | 2010-12-28 | 2012-06-28 | Yang Xiaomin | Imprint template fabrication and repair based on directed block copolymer assembly |
-
2008
- 2008-05-02 US US12/114,173 patent/US8114301B2/en active Active
-
2009
- 2009-04-20 EP EP20090739437 patent/EP2276690B1/en active Active
- 2009-04-20 JP JP2011507536A patent/JP5435438B2/ja active Active
- 2009-04-20 KR KR1020107027059A patent/KR101284422B1/ko active IP Right Grant
- 2009-04-20 WO PCT/US2009/041125 patent/WO2009134635A2/en active Application Filing
- 2009-04-20 CN CN2009801158611A patent/CN102015524B/zh active Active
- 2009-04-30 TW TW98114513A patent/TWI375659B/zh active
-
2012
- 2012-02-14 US US13/396,039 patent/US8518275B2/en active Active
-
2013
- 2013-06-27 US US13/928,746 patent/US8993088B2/en active Active
-
2015
- 2015-01-26 US US14/605,276 patent/US20150137331A1/en not_active Abandoned
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103319931A (zh) * | 2012-02-10 | 2013-09-25 | 罗门哈斯电子材料有限公司 | 热退火工艺 |
TWI498377B (zh) * | 2012-02-10 | 2015-09-01 | 羅門哈斯電子材料有限公司 | 摻合嵌段共聚物組成物 |
TWI498384B (zh) * | 2012-02-10 | 2015-09-01 | 羅門哈斯電子材料有限公司 | 熱退火過程 |
CN104395230A (zh) * | 2012-06-05 | 2015-03-04 | 阿克伦大学 | 定向取向嵌段共聚物薄膜的制造 |
CN104995715A (zh) * | 2013-02-14 | 2015-10-21 | Asml荷兰有限公司 | 用于通过嵌段共聚物的自组装在衬底上提供间隔的光刻特征的方法 |
CN104995715B (zh) * | 2013-02-14 | 2018-06-19 | Asml荷兰有限公司 | 用于通过嵌段共聚物的自组装在衬底上提供间隔的光刻特征的方法 |
CN110248725A (zh) * | 2016-12-22 | 2019-09-17 | 伊鲁米那股份有限公司 | 包括树脂膜和图案化的聚合物层的阵列 |
US11512339B2 (en) | 2016-12-22 | 2022-11-29 | Illumina, Inc. | Arrays including a resin film and a patterned polymer layer |
US11932900B2 (en) | 2016-12-22 | 2024-03-19 | Illumina, Inc. | Arrays including a resin film and a patterned polymer layer |
Also Published As
Publication number | Publication date |
---|---|
US20130285214A1 (en) | 2013-10-31 |
KR20110007612A (ko) | 2011-01-24 |
KR101284422B1 (ko) | 2013-07-09 |
EP2276690B1 (en) | 2012-09-19 |
WO2009134635A2 (en) | 2009-11-05 |
CN102015524B (zh) | 2013-09-11 |
JP2011523504A (ja) | 2011-08-11 |
US8518275B2 (en) | 2013-08-27 |
TWI375659B (en) | 2012-11-01 |
EP2276690A2 (en) | 2011-01-26 |
US8114301B2 (en) | 2012-02-14 |
US8993088B2 (en) | 2015-03-31 |
US20150137331A1 (en) | 2015-05-21 |
WO2009134635A3 (en) | 2010-10-28 |
US20120138570A1 (en) | 2012-06-07 |
TW201008867A (en) | 2010-03-01 |
US20090274887A1 (en) | 2009-11-05 |
JP5435438B2 (ja) | 2014-03-05 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN102015524B (zh) | 聚合物材料,在衬底上形成纳米结构聚合物材料的方法和使用该聚合物材料蚀刻衬底的方法 | |
CN101978469B (zh) | 具有受限于以均等优先湿润两嵌段的上界面的嵌段共聚物膜的热退火 | |
US8455082B2 (en) | Polymer materials for formation of registered arrays of cylindrical pores | |
CN101952195B (zh) | 嵌段共聚物圆柱体的一维阵列和其应用 | |
KR101097557B1 (ko) | 블록 공중합체 자기 조립에 의하여 형성되는 서브 리소그라피 지름을 갖는 2차원 홀 어레이 | |
US8557128B2 (en) | Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers | |
US20150380260A1 (en) | Semiconductor structures including self-assembled polymer domains registered to the underlying self-assembled polymer domains, templates comprising the same, and methods of forming the same | |
WO2010042290A2 (en) | Methods of utilizing block copolymer to form patterns | |
TW200921786A (en) | Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces | |
KR20090015742A (ko) | 블록 공중합체를 이용한 미세 패턴 형성 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |