CN101504543B - Extraction of key process parameter - Google Patents

Extraction of key process parameter Download PDF

Info

Publication number
CN101504543B
CN101504543B CN2008101812222A CN200810181222A CN101504543B CN 101504543 B CN101504543 B CN 101504543B CN 2008101812222 A CN2008101812222 A CN 2008101812222A CN 200810181222 A CN200810181222 A CN 200810181222A CN 101504543 B CN101504543 B CN 101504543B
Authority
CN
China
Prior art keywords
parameter
group
data
technological
correlativity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2008101812222A
Other languages
Chinese (zh)
Other versions
CN101504543A (en
Inventor
林俊贤
柯俊成
左克伟
罗冠腾
汪青蓉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/026,361 external-priority patent/US7974728B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101504543A publication Critical patent/CN101504543A/en
Application granted granted Critical
Publication of CN101504543B publication Critical patent/CN101504543B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

A system, method, and computer readable medium for extracting a key process parameter correlative to a selected device parameter are provided. In an embodiment, the key process parameter is determined using a gene map analysis. The gene map analysis includes grouping highly correlative process parameter and determining the correlation of a group to the selected device parameter. In an embodiment, the groups having greatest correlation to the selected device parameter are displayed in a correlation matrix and/or a gene map.

Description

Extract the method and system of key process parameter
Related application
According to 35 U.S.C. § 119, the present invention requires in submission on May 4th, 2007, the 60/916th, No. 194, title are the right of priority of the interim patent of the U.S. of " accurately wafer forecast method and equipment " (Method andApparatus to Enable Accurate Wafer Prediction), and its full content is hereby expressly incorporated by reference.
Technical field
The present invention relates to a kind of method of extracting key process parameter, particularly a kind of method of extracting the key process parameter relevant with the device parameter of selecting.
Background technology
Integrated circuit produces by a plurality of technologies in the wafer manufacturing site location.These technologies and relevant fabrication tool can comprise thermal oxide, diffusion, ion implantation, rapid thermal treatment (RTP), chemical vapor deposition (CVD), physical vapor deposition (PVD), brilliant formation/growth technique of heap of stone, etch process, lithography process and/or known other manufacturing process and instrument in this field.In addition, manufacturing process comprises a plurality of metrology process, to be used for monitoring and control integrated circuit manufacturing acceptance rate, quality and fiduciary level.
During producing integrated circuit, manufacturing process can produce mass data.Yet, be responsible for the technology and the Facilities Engineer of integrated circuit fabrication process and equipment, only can be by carrying out various experiments (for example DOE) or determining relation between fabrication process parameters and performance of integrated circuits (for example measurement) to doing at the integrated circuit of making on semiconductor substrate or the wafer by knowhow.Accumulate this kind data and knowledge and can consume a large amount of resources.In addition, only can can't experimentize to passive parameter (for example reflective power) to active parameter (for example gas flow rate).In addition, still be difficult to determine the influence of each parameter of selecting in an experiment (for example in batch job) to performance of integrated circuits.
Therefore, need a kind of method that can strengthen judging the correlativity between parameter (a for example technological parameter) and integrated circuit (IC) apparatus performance.
Summary of the invention
A kind of method is provided, has comprised and select device parameter and collection process data.Process data comprises the sequential process data, and the numerical value relevant with a plurality of technological parameters.Sum up the sequential process data.Carry out correlation analysis.Correlation analysis identifies key parameter, and key parameter is included in a plurality of technological parameters.Key process parameter is relevant with the device parameter of selecting.The gene map of the device parameter that generation is selected.
A kind of computer readable medium also is provided.Computer readable medium comprises the instruction of determining technological parameter.These instructions comprise receiving process data and corresponding device thereof performance data.Process data and first, second, third, and the 4th technological parameter relevant.Sum up process data.With first, second, third, and the 4th technological parameter be grouped into first group with second group.Utilize first, second, third, and the 4th technological parameter between correlativity divide into groups.Generation is used for first group correlation matrix.Generation is used for the gene map of device parameter.The gene map comprises first group of relative correlativity with device parameter, and second group of relative correlativity with device parameter.
One system also is provided further.This system can be operated to collect the manufacturing data.Make data and comprise time series data.This time series data will be summed up by system.Determine the correlativity between first Fabrication parameter and second Fabrication parameter.Also determine to comprise the group of first Fabrication parameter and second Fabrication parameter and the correlativity between performance of integrated circuits.Generation illustrates the gene map of the relative correlativity between group and performance of integrated circuits.
Description of drawings
Study following detailed description carefully together with accompanying drawing and can understand aspect of the present invention best.Be stressed that according to the standard that industry is implemented, each feature and not to scale (NTS) are drawn.In fact, clear for what discuss, the size of each feature can be amplified arbitrarily or be dwindled.
Fig. 1 shows a kind of process flow diagram that extracts the embodiment of key process parameter method;
Fig. 2 shows the calcspar of the embodiment of a computer system;
Fig. 3 shows the process flow diagram of a kind of embodiment of correlation analysis;
Fig. 4 shows embodiment graphic of stratum's binary tree of the method that is used for Fig. 3;
Fig. 5 shows file/picture picture (shot) of the embodiment of a correlation matrix; And
Fig. 6 shows file/picture picture of the embodiment of a gene map.
Embodiment
It being understood that at this provides specific embodiment, and with example professor inventive concept widely, and those skilled in the art can easily use instruction of the present invention to other method or equipment.In addition, the method and apparatus that it being understood that in the present invention to be discussed comprises some known structure and/or technologies.Because these structures and technology are well known in the art, will only narrate with general standard.Can omit some intermediate structure and/or technology in this explanation, their content only is the selection in the design.In addition, for convenience with the usefulness of example, repetitive component symbol in all accompanying drawings repeats not mean in all accompanying drawings, must any feature or the step of combination.
With reference to figure 1, show a kind of method 100 that is used for extracting key process parameter.Method 100 originates in step 102, selects a device parameter at this.Device parameter comprises a parameter relevant with integrated circuit, and it comprises an electrical or physical parameter, and it can point out the integrated circuit (IC) apparatus performance.In one embodiment, in the wafer layer test of integrated circuit (IC) apparatus or its part, determine the value of (for example measuring) this device parameter.The example of device parameter comprises Iddq (change of saturation current), leakage loss parameter, speed parameter and/or many other device parameters as known in the art.
The method proceeds to step 104 subsequently, at this, determines the wafer sort parameter relevant with the device parameter of selecting.In other words, carry out correlation analysis to determine to influence one or more wafer sort parameter of the device parameter of selecting in the step 102.One parameter can be measured by statistical tool the influence of another parameter, such as R 2Value (determining coefficient).The wafer sort parameter relevant with device parameter can be regarded as " key " wafer sort parameter at this.In one embodiment, crucial wafer sort parameter has the R bigger than the value of selecting for those 2Value; The criterion that the numerical value of selecting uses is such as treating analyzed shop characteristic, being used resource and/or other known consideration of those skilled in the art of carrying out correlation analysis.Correlation analysis comprises the gene cartographic analysis, will be further described at following Fig. 3.In other embodiments, various statistical tools and method can be used to determine one or more crucial WAT parameters.The wafer sort parameter also can be regarded as wafer and accept test (WAT) parameter.Wafer sort (for example WAT) technology is included in the wafer layer testing electrical property that semiconductor substrate (for example wafer) is gone up the integrated circuit that forms, or the wafer layer testing electrical property of test structure.WAT technology typically is included in the measurement of the wafer sort parameter of a plurality of test positions on the wafer (for example probe location).Probe location is placed in the line of cut of wafer or intersperses among between the integrated circuit (IC) apparatus.The wafer sort parameter can comprise the measurement of resistance, electric current and/or other known parameters in this field.Be described to the WAT data with the numerical value of wafer sort relating to parameters at this.In order to carry out correlation analysis, can collect from the WAT of a plurality of wafers and related device performance (for example numerical value of device parameter).In one embodiment, can collect the WAT data that surpass 200 wafers.In one embodiment, step 104 is omitted.
Method 100 proceeds to step 106 subsequently, determines key process parameter at this.Key process parameter and crucial wafer sort parameter and/or the device parameter of selecting are relevant, and it is described in refer step 104 and 102 respectively.In other words, can carry out correlation analysis, to determine to influence the key process parameter of the device parameter of selecting in the step 102.In one embodiment, can carry out correlation analysis, with the technological parameter of extraction with the crucial wafer sort parameter correlation of step 104.
Technological parameter comprises equipment relevant with manufacturing process or measuring parameter.The value of technological parameter interior (for example at production line) during manufacture is determined.The value relevant with technological parameter is regarded as process data at this.Technological parameter can be initiatively parameter or passive parameter.Any Fabrication parameter (such as parameter) of concrete appointment easily in initiatively parameter is included in during the manufacturing process by define equipment.Initiatively the example of parameter comprises radio-frequency power, specific gas flow rate, concentration and processing time.Passive parameter comprises any for the determined Fabrication parameter of manufacture method, but for example be: the technology of and/or active parameter passive according to other itself, device category, equipment situation, the situation that wafer is processed, and/or other possible factor.The example of passive parameter comprises the temperature and/or the pressure curve of reflective power, context, pollution level and instrument itself.
In order to carry out correlation analysis, collect the process data that comprises the data that are used for device parameter and measuring parameter, and related device performance (as the numerical value of device parameter) and/or WAT data.In one embodiment, collect the process data that surpasses 200 wafers.In one embodiment, process data comprises error diagnosis and classification (FDC) data.In one embodiment, collect the sequential process data relevant (for example with device parameter relevant numerical value) with one or more technological parameters.The sequential process data comprises according to the number of a collected string data of the even time interval, processed wafer and/or other interval that can be determined.The sequential process data comprises before other exemplary steps of preventive maintenance technology, equipment repair technology, equipment cleaning technology and/or manufacturing process and afterwards data.In one embodiment, can utilize known common apparatus pattern/semiconductor equipment to link up standard communication (GEM/SECS) and collect the sequential process data.The sequential process data is summed up in available statistical study, so that the process data of the summary relevant with one or more technological parameters to be provided.
Method 100 proceeds to step 108 subsequently, can carry out batch job at this.In one embodiment, step 108 is omitted.Utilize the various numerical value of the definite key process parameter of step 106 to come batch job to handle one or more wafers.When the numerical range of determining step 106 determined key parameters or ideal value, batch job is quite useful.For example, in one embodiment, determine that one or more process condition that gate oxide forms the chamber are the key process parameter of the device parameter selected.In an embodiment, batch job can be used to determine concrete process condition (for example numerical value, setting).
Method 100 proceeds to step 110 subsequently, carries out the technology optimization at this.Can be by the numerical value of adjusting key process parameter this technology of optimization, key process parameter ties up in the step 106 determines that it is used in the manufacturing process.This numerical value can utilize the collected data of step 108 batch job to determine.In one embodiment, the interval that this technology can be by Change Example such as preventive maintenance technology, cleaning procedure, equipment repair technology, case chamber standby time, technological temperature and/or equipment replacement are optimized at interval.Illustrate that by example in one embodiment, extract concrete device senses device and change with as a key process parameter, it influence an equivalent oxide thickness that installs.Can controlled technological parameter (for example changing relevant) in further analysis then can be provided at during the manufacturing process with sensor, with the variation of the equivalent oxide thickness that limits a device.
Therefore, method 100 is determined the key process parameter relevant with selecting device parameter.Method 100 can be considered to be divided into two-layer stratum analysis (for example (1) is extracted crucial WAT parameter and extracted key process parameter with (2)).But, in other embodiments, other stratum analysis structure can be arranged.
With reference to figure 2, show a kind of embodiment that is used for implementing the computer system 200 of the embodiment of the invention, it is included in the System and method for of this explanation.In one embodiment, computer system 200 for example comprises the function of the extraction key process parameter described in the method 300 of the method 100 of Fig. 1 and Fig. 3.
Computer system 200 comprises microprocessor 204, input media 210, memory storage 206, Installed System Memory 208, display 214 and communication device 212, and it is all by one or more bus 202 interconnection.Memory storage 206 can be floppy drive, Winchester disk drive, CD-ROM, optical devices or any other memory storage.In addition, memory storage 206 can have the ability to receive the computer fetch medium that floppy drive, Winchester disk drive, CD-ROM, DVD-ROM or any other form comprise computer executable instructions.Communication device 212 is that a modulator-demodular unit, network card or any other can make the device of computer system and other node communication.It being understood that any computer system 200 can represent a plurality of interconnected computer systems, such as personal computer, main frame, personal digital assistant and telephone device.Communication device 212 can allow computer system 200 and be used for one or more instruments of integrated circuit manufacturing and/or test or the communication between the computer system.
Computer system 200 comprises the hardware that can carry out the machine readable instruction fetch, and the software that is used for carrying out the action (typically being the machine readable instruction fetch) that produces desired result.Software comprises any machine code that is stored in any memory media (such as RAM or ROM), and the machine code that is stored in other memory storage (for example flexible plastic disc, flash memory or CD ROM).Software can comprise for example source or object code.In addition, software comprises any group of instruction can carrying out in client machine or server.Any combination of hardware and software comprises a computer system.The coding of being carried out by computing machine comprises the coding that is used for extracting key parameter, and it comprises and carries out a correlation analysis and produce a correlation matrix and/or the gene map.
Computer fetch medium comprises passive data storing, such as random access memory, and the semipermanent data storing, such as compact disc read-only memory (CD-ROM).One embodiment of the invention may be implemented among the RAM of a computing machine, standard computer is converted to a brand-new concrete counter.Data structure is for can implement the data organization that is defined of the present invention.For example, a data structure provides the tissue of a data organization or an executable code.Data-signal can be carried passes transfer medium, and stores and transmit various data structures, therefore can be used to transmit embodiments of the invention.Microprocessor 204 can carry out the correlation analysis narrated at this.
Display 214 can be operated and show respectively with the form that the mankind can read, for example Fig. 4,5, with 6 stratum's binary tree, correlation matrix and/or gene map.Database 216 can be well known in the art any standard or exclusive database software.The physical location of unrestricted database 216 can exist from server long distance ground, can be used by the Internet or internal network.Disclosed database 216 comprises the embodiment of a plurality of databases.Database 216 comprises the manufacturing data, comprises for example process data, similarly is device data, continuous data; The WAT data; And device performance data.
With reference to figure 3, it shows a kind of method 300 of correlation analysis, to extract the key process parameter relevant with the device parameter of selecting.Shown in the method for correlation analysis can be used to extract one or more crucial Fabrication parameters from a plurality of Fabrication parameters; Key parameter and the relating to parameters of selecting.Fabrication parameter comprises for example technological parameter, such as device parameter, measuring parameter, wafer sort parameter, last test parameter or during making integrated circuit or can be collected any parameter of data (for example Fabrication parameter value) afterwards.
But using method 300 is carried out the correlation analysis of the step 104 and/or the step 106 of method 100.Method 300 further shows a kind of correlation analysis that utilizes the metering of gene cartographic analysis.In the embodiment shown, method 300 is extracted the key process parameter relevant with device parameter.Yet this explanation only is used to the usefulness that furnishes an explanation, and method 300 can be used for determining the correlativity between any parameter, for example is included in such as one or more layers the stratum analysis described in the method 100 of Fig. 1.For example, in one embodiment, method 300 can be used for extracting the WAT parameter relevant with device parameter.In another embodiment, method 300 can be used for extracting the technological parameter with the WAT relating to parameters.
Method 300 originates in step 302, selects device parameter at this.The step 102 of the similar said method 100 of step 302 essence.Method 300 proceeds to step 304 subsequently, collects the process data relevant with a plurality of technological parameters at this.In one embodiment, collect the sequential process data and corresponding wafer result (for example device parameter value) that is used for a plurality of wafers.Process data is included in the one or more device parameters determined during the manufacturing process of known wafer and/or the numerical value of measuring parameter; Corresponding wafer result comprises the device parameter of known wafer and/or the numerical value that the WAT parameter is determined.In one embodiment, collect the sequential process data and corresponding wafer result of at least 200 wafers.
Method 300 proceeds to step 306 subsequently, sums up the process data of process data or part at this.In one embodiment, summary data comprises and determines one or more statistics of representing sequential process data (for example device data).Statistical value comprises a maximal value, a minimum value, a standard error value and mean value and/or other possible statistical value.Can sum up time series data one or more with in maximal value, minimum value, standard error value and the mean value of determining each processing step.Illustrate by example, in one embodiment, be collected with the time series data of radio-frequency power related technical parameters.At each processing step that wafer is experienced in the manufacturing relevant with radio-frequency power, time series data can be summarized as maximal value, minimum value, standard error value, with mean value in one or more.
Method 300 proceeds to step 308 subsequently, at this technological parameter is divided into groups.Can utilize stratum to hive off divides into groups parameter.In one embodiment, stratum hives off and comprises first and second parameter of determining height correlation, and first and second parameter is formed single group to be used for further analysis.A group can comprise any a plurality of technological parameters of height correlation.In one embodiment, the parameter of height correlation has at least 0.8 R 2Value.Therefore, in one embodiment, a group comprises having at least 0.8 R to each other 2The parameter of value.In one embodiment, one or more swarm parameters are got together to form a jumpbogroup more.
Among one embodiment, stratum's binary tree can be used for parameter is divided into groups.Fig. 4 provides stratum's binary tree 400.Stratum's binary tree 400 comprises: comprise the Z-axis 402 of correlation distance, and the transverse axis 404 that comprises the designated parameter group.Little correlation distance is represented the high R between this swarm parameter 2Value.Cut off 406 illustrates a correlation distance of selecting so that the suitable correlativity between parameter to be provided.In one embodiment, the group with cut off correlation distance below 406 can be further analyzed into a group, for example, can determine and the relevant a group of a device parameter of selecting.
Method 300 proceeds to step 310 subsequently, in the correlativity of this definite group with the device parameter of selecting.Also determine less important group, crucial group (for example those have bigger correlativity with the device parameter of selecting).In one embodiment, with regard to one or more group, add progressively to return by the key component conversion and calculate the R of group device parameter 2Value.In one embodiment, swarm parameter is relevant with device parameter, and it all has different mechanisms on different manufacturing technology steps.In this embodiment, recurrence and R 2Calculating is implemented respectively in each step.
As mentioned above, in one embodiment, (the R for example of the correlativity between a group and the device parameter selected 2Value) it is definite to add that by the key component conversion progressively recurrence comes.For example, the key component conversion can convert the technological parameter of height correlation to less data set (for example group).For instance, X1 (parameter) is to the R of the device parameter selected 2Value is 0.1, and X2 (parameter) is to the R of the device parameter selected 2Value is 0.1, X1 and X2 group's R 2Can be (1)〉0.2, (2)=0.2 or (3)<0.2.The result of situation (1), (2) or (3) depends on the data of being analyzed.Progressively return and utilize the R that satisfies condition 2To select the technological parameter that falls within the particular cases (1).In other words, the method can be found out some parameters, when these parameters are grouped in a time-out, the correlativity of itself and device parameter can be greater than the time with its individual packets the summation of its correlativity.
With reference to figure 3 and 5, method 300 proceeds to step 312, provides at this to be used for one or more groups correlation matrix.Fig. 5 shows correlation matrix 500.Correlation matrix 500 provides the correlativity (R for example of the parameter of (for example illustrating) a group 2Value).Can provide correlation matrix 500 by the display 214 of above-mentioned Fig. 2.Can provide correlation matrix 500 to the group who is confirmed as crucial group (for example enough correlativitys being arranged) with device parameter.Correlation matrix 500 comprises Z-axis 502, and it comprises a plurality of parameters in the group that correlation matrix is provided.Transverse axis 504 comprises identical a plurality of parameters (for easy use, by digitized representation parameter name).Therefore, diagonal line illustrates same numerical value, i.e. R 2Equal 1.The project of correlation matrix 500, for example reference number 506 shown projects provide the R between parameter 2Value.For example, reference number 506a illustrates the R between B parameter and parameters C 2Value is 0.9.Correlation matrix 500 can be by coloud coding, so that relative correlation values easily to be shown.In one embodiment, the R value is classified as 1,<0.9,<0.75,<0.5,<0.25,<0.1,<0,<-0.1,<-0.25,<-0.5,<-0.75,<-0.9, with<-1 classification, one or more classifications show with different colours.Correlation matrix 500 comprises title 508, and it provides group name and to the correlation of the device parameter selected (R for example 2Value).
With reference to figure 3 and 6, method 300 proceeds to step 314 subsequently, is illustrated in the gene map 600 of Fig. 6 in this generation.Gene map 600 illustrates the relative classification of correlativity between technological parameter or swarm parameter and the device parameter of selecting with a plurality of processing steps.Gene map 600 can be illustrated on the display, such as the described display 214 of above-mentioned Fig. 2.The benefit of gene map 600 is, the related technical parameters of device parameter that the user is highlighted and selects with relative classification.In one embodiment, each device parameter of selecting in the step 302 all is shown in a gene map.
Gene map 600 comprise be denoted as 1,2,3,4, with a plurality of manufacturing technology steps 602 of 5.The a plurality of technological parameters of Z-axis 604 representatives.When the correlativity of the device parameter of determining each swarm parameter and selecting, described in above step 310, each group can come classification according to the intensity of its correlativity, and specifies one to check numbers mutually.For example, has population of parameters to the high correlation of device parameter (the highest R for example 2Value) can be designated as " 1 ", have population of parameters to time high correlation of device parameter (the second high R for example 2Value) can be designated as " 2 " etc.Gene map 600 shows each parameter of a group with prescribed fractionated, shown in project 606.Gene map 600 illustrates the classification of the first seven high group, yet can have the classification of any number.
In one embodiment, the user can select one of them of project 606, and the parameter name will be shown.In one embodiment, the user can select project 606, and all parameters of relevant group will be shown, shown in square 608.In one embodiment, the user can select a group, and can inspect in more detail.Can produce a correlation matrix thus, such as the described correlation matrix 500 of Fig. 5.
The advantage of gene map 600 comprises a high-level efficiency and efficient system, in user that process engineer for example is provided mode with system, and the degree of the device parameter of selecting according to each effects of process parameters, inspection technological parameter one by one.In other embodiments, the gene map can be used to show that any a plurality of parameter (for example Fabrication parameter) and selects the relative correlativity of parameter (for example indicating device performance).
Therefore, method 300 provides a kind of method to comprise the correlation analysis of gene cartographic analysis.As previously mentioned, method 300 is used to select a device parameter, and chooses the one or more technological parameters with the device parameter height correlation.Yet method of adjustment 300 is to determine that any a plurality of parameters may exist with other embodiment of the relative correlativity of another parameter, and the example that is provided is as follows.
In one embodiment, carry out the correlation analysis of similar approach 300 to determine the one or more WAT parameters relevant with the device parameter of selecting.The correlation analysis that is used for extracting crucial WAT parameter can be used to combine with the correlation analysis that extracts key process parameter.For example, in one embodiment, device parameter is selected.Correlation analysis, the analysis such as a kind of one or more steps of the gene cartographic analysis that comprises method 300 can be used to extract the crucial WAT parameter relevant with the device parameter of selecting.Correlation analysis, the analysis such as a kind of one or more steps of the gene cartographic analysis that comprises method 300 can be used to extract the key process parameter with the crucial WAT relating to parameters that has extracted.
In one embodiment, being confirmed as a group relevant with the device parameter of selecting (a for example crucial group) can come into force by the device history record.The device history record can provide installation, cleaning and/or the miscellaneous equipment technology when preventive maintenance, repairing, new equipment or part take place.For example, the time diagram of device performance data (for example device parameter) can illustrate the change of device performance trend, is attributable to the technology that the device history record is comprised.For example, after preventive maintenance technology, device performance can improve at once.
Though above-mentioned describes one exemplary embodiment more of the present invention in detail, those skilled in the art will easily understand the various modifications of exemplary embodiment under the instruction and advantage that do not deviate from the present invention's innovation.
The primary clustering symbol description
100 methods, 200 computer systems
202 buses, 204 microprocessors
206 storage devices, 208 Installed System Memories
210 input units, 212 communication devices
214 displays, 216 databases
400 stratum's binary trees, 402 vertical axises
404 trunnion axis, 406 cut offs
500 correlation matrixes, 502 Z-axises
504 transverse axis, 506 reference numbers
508 titles, 600 gene maps
602 manufacturing technology steps, 604 Z-axises
606 projects, 608 squares.

Claims (15)

1. the method for an extraction process parameter comprises:
Select a device parameter, wherein said device parameter is relevant with the performance of an integrated circuit;
The collection process data, wherein said process data comprises the sequential process data, and wherein said process data comprises the numerical value relevant with a plurality of technological parameters;
Sum up described sequential process data; And
Carry out a correlation analysis, wherein said correlation analysis identifies a key process parameter that is contained in described a plurality of technological parameters, wherein said key process parameter is relevant with the device parameter of selecting, and wherein said correlation analysis comprises a gene map that produces the device parameter that is used to select, wherein said a plurality of technological parameter is grouped into one first group and one second group, and wherein said gene map comprise described first group with described second a group classification, wherein said classification provides a relative correlativity to the device parameter of selecting.
2. method according to claim 1 also comprises:
Collect the wafer sort data, wherein said wafer sort data comprise the numerical value with a plurality of wafer sort relating to parameters; And
Wherein said correlation analysis comprises a crucial wafer sort parameter that identifies the described a plurality of wafer sort parameters relevant with the device parameter of selecting.
3. method according to claim 1 wherein, is summed up described sequential process data and is comprised a definite statistical value in a group statistical value that a maximal value, a minimum value, a standard deviation value, a mean value and combination thereof are formed.
4. method according to claim 1, wherein, described correlation analysis comprises with described a plurality of technological parameter groupings, so that at least one the 3rd group and a four group to be provided.
5. method according to claim 4, wherein, described the 3rd faciation is for definite coefficient of the device parameter of selecting definite coefficient greater than described the 3rd group of any one technological parameters that is comprised.
6. method according to claim 4 wherein, comprises the correlativity of determining between described a plurality of technological parameters with described a plurality of technological parameter groupings.
7. method according to claim 6, the described correlativity between wherein said the 3rd group described a plurality of technological parameters comprises definite coefficient of at least 0.8.
8. method according to claim 4 also comprises:
Utilize primary clustering conversion and progressively to return to determine described the 3rd group of described correlativitys with the device parameter of selecting.
9. method according to claim 4 also comprises:
Provide a correlation matrix to described the 3rd group.
10. method according to claim 1, wherein, described gene map illustrates the relative correlativity of described a plurality of technological parameter and the device parameter of selecting at a plurality of processing steps.
11. method according to claim 1 also comprises:
Carry out a batch job, to determine a numerical value of described key process parameter.
12. a semiconductor technological system, described system comprises at least one subsystem, with: collect the manufacturing data during the manufacturing process,
Utilize collected described manufacturing data to determine a correlativity between one first Fabrication parameter and one second Fabrication parameter;
Determine to comprise the correlativity between the performance of group of described first Fabrication parameter and described second Fabrication parameter and the integrated circuit during the described manufacturing process; And
Produce a gene map so that a relative correlativity of described group and the performance of described integrated circuit to be shown.
13. system according to claim 12, wherein, described manufacturing data comprise that error detects and grouped data.
14. system according to claim 12 also comprises a subsystem, with:
Generation is used for a correlation matrix of described group.
15. system according to claim 12 also comprises a subsystem, with:
Receive time series data; And
Sum up the described time series data that is received.
CN2008101812222A 2008-02-05 2008-11-14 Extraction of key process parameter Expired - Fee Related CN101504543B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/026,361 US7974728B2 (en) 2007-05-04 2008-02-05 System for extraction of key process parameters from fault detection classification to enable wafer prediction
US12/026,361 2008-02-05

Publications (2)

Publication Number Publication Date
CN101504543A CN101504543A (en) 2009-08-12
CN101504543B true CN101504543B (en) 2011-04-20

Family

ID=40976813

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008101812222A Expired - Fee Related CN101504543B (en) 2008-02-05 2008-11-14 Extraction of key process parameter

Country Status (1)

Country Link
CN (1) CN101504543B (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR3029623B1 (en) * 2014-12-05 2019-06-14 Safran Aircraft Engines PROCESS FOR FOLLOWING THE MANUFACTURE OF PARTS HAVING A DIMENSIONAL REQUIREMENT ASSIMILATED TO A CABLE CHAIN
CN107541716B (en) * 2016-06-27 2019-09-13 台湾积体电路制造股份有限公司 The parameter regulation means of deposition manufacture process
CN109859066A (en) * 2017-11-30 2019-06-07 阿里巴巴集团控股有限公司 A kind of method and apparatus of determining technological parameter
CN109948283B (en) * 2019-03-29 2023-08-18 上海华力集成电路制造有限公司 Analysis method of semiconductor device
CN109933946B (en) * 2019-03-29 2023-08-18 上海华力集成电路制造有限公司 Analysis method of semiconductor device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6549864B1 (en) * 2001-08-13 2003-04-15 General Electric Company Multivariate statistical process analysis systems and methods for the production of melt polycarbonate
CN1672253A (en) * 2002-07-29 2005-09-21 先进微装置公司 Dynamic targeting for a process control system
US6959252B2 (en) * 2002-12-31 2005-10-25 Powerchip Semiconductor Corp. Method for analyzing in-line QC test parameters

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6549864B1 (en) * 2001-08-13 2003-04-15 General Electric Company Multivariate statistical process analysis systems and methods for the production of melt polycarbonate
CN1672253A (en) * 2002-07-29 2005-09-21 先进微装置公司 Dynamic targeting for a process control system
US6959252B2 (en) * 2002-12-31 2005-10-25 Powerchip Semiconductor Corp. Method for analyzing in-line QC test parameters

Also Published As

Publication number Publication date
CN101504543A (en) 2009-08-12

Similar Documents

Publication Publication Date Title
CN101504543B (en) Extraction of key process parameter
US7974728B2 (en) System for extraction of key process parameters from fault detection classification to enable wafer prediction
Savic et al. Genetic algorithm techniques for calibrating network models
US20120185818A1 (en) Method for smart defect screen and sample
CN101785011A (en) Utilize the robust designs of manufacturability models
CN103714191B (en) 2D/3D analysis for abnormal tools and stage diagnosis
CN109189028A (en) PCA method for diagnosing faults based on muti-piece information extraction
CN108520111A (en) A kind of flexible measurement method based on orthogonal component optimal selection and optimum regression
CN103853870B (en) Distinguishable IC Patterns with Encoded Information
US20070114396A1 (en) Critical area calculation method and yield calculation method
Yu et al. Hierarchical indices to detect equipment condition changes with high dimensional data for semiconductor manufacturing
US7565254B2 (en) Method and apparatus for metrology sampling using combination sampling rules
US7460968B1 (en) Method and apparatus for selecting wafers for sampling
CN113988373B (en) Multi-task massive user load prediction method based on multi-channel convolutional neural network
CN108492026A (en) A kind of flexible measurement method optimizing regression analysis based on integrated orthogonal component
US20100131091A1 (en) A method and relative device for the management of technological recipe information to aid in defining process flows, in particular for the development and production of micro-and nanotechnology devices in cleanroom laboratories
CN114247661A (en) Semiconductor product grading method and grading system
Rana et al. Drinking water distribution system network clustering using self-organizing map for real-time demand estimation
CN116049603A (en) Grating ruler measurement error compensation method
US7035770B2 (en) Fuzzy reasoning model for semiconductor process fault detection using wafer acceptance test data
Cappa et al. Performance of alternative spatial models in empirical Douglas-fir and simulated datasets
JP2004186374A (en) Manufacturing data analyzing method and program for making computer practice the same
JP4538205B2 (en) Inspection data analysis program, inspection data analyzer
Singer et al. The funnel experiment: The Markov‐based SPC approach
JP2007305670A (en) Yield calculation method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110420