CN101497993A - 薄膜形成方法和用于形成含硅绝缘膜的装置 - Google Patents

薄膜形成方法和用于形成含硅绝缘膜的装置 Download PDF

Info

Publication number
CN101497993A
CN101497993A CNA2009101267574A CN200910126757A CN101497993A CN 101497993 A CN101497993 A CN 101497993A CN A2009101267574 A CNA2009101267574 A CN A2009101267574A CN 200910126757 A CN200910126757 A CN 200910126757A CN 101497993 A CN101497993 A CN 101497993A
Authority
CN
China
Prior art keywords
gas
treatment zone
supply
processing gas
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2009101267574A
Other languages
English (en)
Other versions
CN101497993B (zh
Inventor
长谷部一秀
中岛滋
小川淳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101497993A publication Critical patent/CN101497993A/zh
Application granted granted Critical
Publication of CN101497993B publication Critical patent/CN101497993B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Abstract

本发明提供一种薄膜形成方法和用于形成含硅绝缘膜的装置。在可选择性地供给包含二异丙基氨基硅烷气体的第一处理气体和包含氧化气体或氮化气体的第二处理气体的处理区域内,通过CVD在被处理基板上形成含硅绝缘膜。为此,重复进行多次交替地包括第一工序和第二工序的循环。第一工序进行第一处理气体的供给,在被处理基板的表面形成含硅吸附层。第二工序进行第二处理气体的供给,氧化或氮化在被处理基板表面上的吸附层。第二工序包括在已利用激励机构将第二处理气体激励的状态下供向处理区域的激励期间。

Description

薄膜形成方法和用于形成含硅绝缘膜的装置
技术领域
本发明涉及在半导体处理中在半导体晶片等被处理基板上形成含硅绝缘膜的成膜方法和装置。在此,所谓半导体处理是指在如晶片或LCD(Liquid Crystal Display;液晶显示器)等FPD(Flat Panel Display;平板显示器)用的玻璃基板等被处理基板上按照规定的图案形成半导体层、绝缘层、导电层等,从而用来在该被处理基板上制造包含半导体器件、与半导体器件连接的配线、电极等的构造物而实施的各种处理。
背景技术
近年来,随着半导体集成电路的进一步高集成化和高微细化的要求,希望减轻在半导体器件的制造工序的热过程,提高器件的特性。即使在立式处理装置中,也希望根据这样的要求实现半导体处理方法的改良。例如,即使在CVD处理中,也采用在间断地供给原料气体等的同时,每一层或每几层反复形成原子或分子级厚度的层的方法。这样的成膜方法一般称为ALD(Atomic Layer Deposition;原子层沉积)或者MLD(Molecular Layer Deposition;分子层沉积),由此,即使晶片不暴露于那样的高温下也可以进行想要的处理。此外,通过ALD或MLD的成膜的阶梯覆盖性(step coverage)良好,所以适于在随着器件的微细化而变窄的半导体器件内的凹部,例如栅极之间埋入间隙。例如特开2004—281853号公报(专利文献1)公开了用ALD法在300℃~600℃的低温下形成氮化硅膜的方法。
发明内容
本发明的目的是提供一种可以在低温下形成优良的含氮绝缘膜的半导体处理用的成膜方法和装置。
本发明的第一方面的半导体处理用的成膜方法,其在可选择性地供给包含二异丙基氨基硅烷气体的第一处理气体和包含氧化气体或氮化气体的第二处理气体的处理区域内,通过CVD在被处理基板上形成含硅绝缘膜,上述半导体处理用的成膜方法重复进行多次交替地包括第一工序和第二工序的循环,层叠在每个上述循环中形成的薄膜,由此形成具有规定厚度的上述含硅绝缘膜,其中,上述第一工序,对上述处理区域进行上述第一处理气体的供给,另一方面,维持不向上述处理区域供给上述第二处理气体,在上述被处理基板的表面形成含硅吸附层,上述第二工序,对上述处理区域进行上述第二处理气体的供给,另一方面,维持不向上述处理区域供给上述第一处理气体,氧化或氮化上述被处理基板表面上的上述吸附层,上述第二工序包括在已利用激励机构将上述第二处理气体激励的状态下供向上述处理区域的激励期间。
本发明的第二方面的形成含硅绝缘膜的半导体处理用的成膜装置,包括:反应室,其具有收纳被处理基板的处理区域;支撑部件,其在上述处理区域内支撑上述被处理基板;加热器,其加热上述处理区域内的上述被处理基板;排气系统,其对上述处理区域内进行排气;第一处理气体供给系统,其向上述处理区域供给包含二异丙基氨基硅烷气体的第一处理气体;第二处理气体供给系统,其向上述处理区域供给包含氧化气体或氮化气体的第二处理气体;激励机构,其激励供给到上述处理区域内的上述第二处理气体;和控制部,其控制上述装置的动作,为了通过CVD在上述被处理基板上形成含硅绝缘膜,上述控制部预先设定为,重复进行多次交替地包括第一工序和第二工序的循环,层叠在每个上述循环中形成的薄膜,由此形成具有规定厚度的上述含硅绝缘膜,上述第一工序:对上述处理区域进行上述第一处理气体的供给,另一方面,维持不向上述处理区域供给上述第二处理气体,在上述被处理基板的表面形成含硅吸附层,上述第二工序:对上述处理区域进行上述第二处理气体的供给,另一方面,维持不向上述处理区域供给上述第一处理气体,氧化或氮化上述被处理基板表面上的上述吸附层,上述第二工序包括在已利用激励机构将上述第二处理气体激励的状态下供向上述处理区域的激励期间。
本发明的第三方面的计算机可读取介质,其包含用于在处理器上执行的程序指令,其特征在于:在由处理器执行时,上述程序指令控制成膜装置,上述成膜装置是在可选择性地供给包含二异丙基氨基硅烷气体的第一处理气体和包含氧化气体或氮化气体的第二处理气体的处理区域内,通过CVD在被处理基板上形成含硅绝缘膜的半导体处理用的成膜装置,重复进行多次交替地包括第一工序和第二工序的循环,层叠在每个上述循环中形成的薄膜,由此形成具有规定厚度的上述含硅绝缘膜,其中,上述第一工序,对上述处理区域进行上述第一处理气体的供给,另一方面,维持不向上述处理区域供给上述第二处理气体,在上述被处理基板的表面形成含硅吸附层,上述第二工序,对上述处理区域进行上述第二处理气体的供给,另一方面,维持不向上述处理区域供给上述第一处理气体,氧化或氮化上述被处理基板表面上的上述吸附层,上述第二工序包括在已利用激励机构将上述第二处理气体激励的状态下供向上述处理区域的激励期间。
附图说明
图1是表示根据本发明实施方式的成膜装置(立式等离子体CVD装置)的截面图。
图2是表示图1所示的装置的一部分的横截平面图。
图3是表示图1所示的装置的控制部的结构图。
图4是表示根据本发明实施方式的成膜处理的方案的时间图。
图5是表示处理区域的设定温度与膜厚的循环率之间的关系的图。
图6是表示处理区域的设定温度与膜厚的面间均匀性之间的关系的图。
图7A—图7F是模式地表示在使用二异丙基氨基硅烷气体作为硅源气体的情况下Si晶片W表面的反应的图。
具体实施方式
本发明者们在开发本发明的过程中,对涉及在半导体处理中通过CVD形成氧化硅膜的方法的现有技术所存在的问题进行了研究。其结果是,本发明者们获得了如下所述的见识。
在现有的形成氧化硅膜的方法的情况下,一般会产生这样的问题:如果降低处理温度则成膜率降低,或者氧化硅膜的膜质降低。成膜率是决定处理的生成率的重要因素,此外,由于伴随着器件的微细化而薄膜化,所以氧化硅膜的膜质成为希望越来越慎重的因素。例如,在由薄的氧化硅膜形成栅极氧化膜的情况下,恐怕如果膜质不好则泄漏电流增大。由于这样的理由,在使用如上所述的硅源气体的情况下,即使例如利用ALD或MLD法,也需要像前述的专利文献1中所记载的那样,将处理温度设定为300℃以上。
但是,在形成氧化硅膜时,要求在更低的温度下进行成膜。此外,要求形成的氧化硅膜的膜质的进一步提高。因此,能够在更低的温度下,形成更好的氧化硅膜的方法成为必要。
本发明者们基于这样的观点进行研究,发现在使用一价的氨基硅烷气体即二异丙基氨基硅烷气体(DIPAS)作为硅源气体的情况下,利用ALD或MLD法时,即使将处理温度设定为比过去更低的温度,也能够维持规定的成膜率,还能够形成优良的氧化硅膜。因此,能够进一步减轻半导体器件制造工序中的热过程,提高器件的特性。
下面参照附图说明基于这样的认识构成的本发明的实施方式。另外,在下面的说明中,对于具有大致相同的功能和结构的构成要素标注相同的符号,仅在必要的情况下进行重复说明。
图1是表示根据本发明的第一实施方式的成膜装置(立式等离子体CVD装置)的截面图。图2是表示图1所示的装置的一部分的横截平面图。该成膜装置1具有可选择性地供给作为硅源气体包含DIPAS气体的第一处理气体、和包含氧化气体即氧(O2)气的第二处理气体的处理区域。将成膜装置1构成为在这样的处理区域内,通过ALD或MLD在被处理基板上形成氧化硅膜。
如图1所示,成膜装置1具有长度方向朝垂直方向的有顶的大致圆筒状的反应管(反应室)2。反应管2由耐热及耐腐蚀性优异的材料、例如石英形成。在反应管2内,在内部规定了处理区域2a,处理区域2a收纳隔开间隔重叠的多个半导体晶片(被处理基板)并对其进行处理。
在反应管2的一侧,为了对其内部气氛进行真空排气,在反应管2的侧壁上设置例如通过向上下方向削去而形成的细长的排气口3b。在排气口3b上通过熔接安装覆盖它的由石英构成的、成形为截面コ字状的排气口罩部件3a。排气口罩部件3a沿反应管2的侧壁向上方延伸,在反应管2的上方形成气体出口4。排气部GE通过气密的排气管与气体出口4连接。在排气部GE上设置有阀、真空排气泵(在图1中未图示,在图3中用符号127表示)等压力调整机构。在通过排气部GE排出反应管2内的气氛的同时,还可以将反应管2内的气氛设定为规定的压力(真空度)。
在反应管2的下方设置有盖体5。盖体5由耐热及耐腐蚀性优异的材料、例如石英形成。盖体5具有通过后述的舟升降机(在图1中未图示,在图3中用符号128表示)可上下运动的结构。当通过舟升降机使盖体5上升时,反应管2的下方侧(炉口部分)被封闭。当通过舟升降机使盖体5下降时,反应管2的下方侧(炉口部分)被开口。
在盖体5上载置有例如由石英形成的晶舟6。晶舟6具有在垂直方向上隔开规定的间隔可收容多片半导体晶片W的结构。另外,在盖体5的上部设置用来防止从反应管2的炉口部分反应管2内的温度降低的保温筒。此外,也可以设置可转动地载置收容有晶片W的晶舟6的旋转台,在其上载置晶舟6。在该情况下,容易将收容在晶舟6上的晶片W控制为均匀的温度。
在反应管2的周围设置隔热罩71以包围反应管2,在隔热罩71的内面安装了温度调整机构、例如由电阻发热体构成的加热器7。该加热器7使反应管2内部的处理区域2a升温(加热)到规定的温度,结果是晶片W被加热到规定的温度。
在反应管2的下端附近的侧面插通将处理气体(例如氧化气体、硅源气体、不活性气体(稀释用、净化用、或者压力控制用))导入到反应管2内的气体分散喷嘴8、9以及气体喷嘴16。气体分散喷嘴8、9以及气体喷嘴16通过质量流量控制器(MFC)等(未图示)与处理气体供给部GS连接。处理气体供给部GS包括:用来调制包含如下所述的硅源气体的第一处理气体、包含氧化气体的第二处理气体的反应性气体的各个气体源、和作为不活性气体使用的氮(N2)气的气体源。
即,在本实施方式中,为了通过ALD或MLD在晶片W上形成氧化硅膜(产物膜),在第一处理气体中使用二异丙基氨基硅烷(DIPAS)气体作为硅源气体,在第二处理气体中使用氧气作为氧化气体。在第一和第二处理气体中,有时根据需要混合适当量的运载气体(N2气等稀释气体),但是下面为了容易说明,不对运载气体进行提及。
气体分散喷嘴8与O2气以及N2气的气体源连接,气体分散喷嘴9与DIPAS气体以及N2气的气体源连接,气体喷嘴16与N2气的气体源连接。这些气体源设置在处理气体供给单元GS内。
各个气体分散喷嘴8、9由向内侧贯通反应管2的侧壁且向上弯曲延伸的石英管构成(参照图1)。在各个气体分散喷嘴8、9中,沿着其长度方向(上下方向)且以遍及全部晶舟6上的晶片W的方式隔开规定的间隔形成多个气体喷射孔。气体喷射孔分别在水平方向上大致均匀地供给对应的处理气体,以形成相对于晶舟6上的多个晶片W平行的气流。另一方面,不活性气体专用的气体喷嘴16由贯通反应管2的侧壁设置的短的气体喷嘴构成。
在反应管2的侧壁的一部分上,沿其高度方向设置等离子体生成部10。等离子体生成部10具有沿上下方向将反应管2的侧壁切去预定宽度而形成的上下细长的开口10b。用与反应管2的外壁气密地熔接接合的石英制的罩10a覆盖开口10b。罩10a呈截面凹部形状,以向反应管2的外侧突出,并且具有上下细长的形状。
通过该结构,形成从反应管2的侧壁突出并且一侧向反应管2内开口的等离子体生成部10。即,等离子体生成部10的内部空间与反应管2内的处理空间连通。开口10b形成为上下方向充分长,从而能够在高度方向上覆盖保持在晶舟6上的全部晶片W。
在罩10a的两侧壁的外侧面,沿着其长度方向(上下方向)彼此相对地设置细长的一对电极11。电极11通过供电线路与等离子体发生用的高频电源11a连接。通过向电极11施加例如13.56MHz的高频电压,在一对电极11之间形成用来激励等离子体的高频电场。另外,高频电压的频率不限于13.56MHz,也可以采用其它频率,例如400kHz等。
第二处理气体的气体分散喷嘴8在比晶舟6上最低层的晶片W低的位置上向反应管2半径方向的外方弯曲。然后,气体分散喷嘴8在等离子体生成部10内的最里头(离反应管2的中心最远的部分)的位置上垂直立起。如图2所示,气体分散喷嘴8设置在比一对相对的电极11所夹的区域(高频电场最强的位置)、即向实际产生主要的等离子体的等离子体发生区域的外侧离开的位置上。包括从气体分散喷嘴8的气体喷射孔喷射的O2气的第二处理气体向着等离子体形成区域喷射,在包含在此被激励(分解或活化)的含氧原子的自由基(O*、O2 *)的状态下供给到晶舟6上的晶片W(记号‘*’表示自由基)。
在等离子体生成部10的开口10b的外侧附近、即开口10b的外侧(反应管2内)的一侧垂直立起设置第一处理气体的气体分散喷嘴9。从在气体分散喷嘴9中形成的气体喷射孔向反应管2的中心方向喷射包含DIPAS气体的第一处理气体。从而从气体分散喷嘴9供给的第一处理气体不被等离子体生成部10等离子体激励(活化)。
此外,在反应管2内设置多根测定反应管2内的温度的例如由热电偶构成的温度传感器122、以及测定反应管2内的压力的压力计(图1中未图示,在图3中用符号123表示)。
此外,成膜装置1具有进行装置各个部分的控制的控制部100。图3是表示控制部100的结构的图。如图3所示,在控制部100上连接操作面板121、温度传感器(组)122、压力计(组)123、加热器控制器124、MFC控制部125、阀控制部126、真空泵127、舟升降机128、等离子体控制部129等。
操作面板121具有显示画面和操作按钮,向控制部100发送操作者的操作指示,此外,在显示画面中显示来自控制部100的各种信息。温度传感器(组)122测定反应管2、排气管内等各个部分的温度,将其测定值通知给控制部100。压力计(组)123测定反应管2、排气管内等各个部分的压力,将测定值通知给控制部100。
加热器控制器124是用来单独控制加热器7的控制器。加热器控制器124对来自控制部100的指示进行应答,对这些加热器通电将它们加热。加热器控制器124还单独测定这些加热器的消耗电力,通知给控制部100。
MFC控制部125控制在气体分散喷嘴8、9,气体喷嘴16等的各个配管中设置的MFC(未图示)。MFC控制部125将流过各个MFC的气体的流量控制为控制部100指示的量。MFC控制部125还测定实际上流过MFC的气体的流量,通知给控制部100。
阀控制部126配置在各个配管中,将配置在各个配管中的阀的开度控制为控制部100指示的值。真空泵127与排气管连接,对反应管2内的气体进行排气。
舟升降机128通过使盖体5上升,将晶舟6(晶片W)装载到反应管2内。舟升降机128还通过使盖体5下降,从反应管2内卸载晶舟6(晶片W)。
等离子体控制部129对来自控制部100的指示进行应答,控制等离子体生成部10,使供给到等离子体生成部10内的氧气活化,生成氧自由基。
控制部100包括方案存储部111、ROM 112、RAM 113、I/O端口114、和CPU 115。它们通过总线116相互连接,通过总线116在各部之间传送信息。
在方案存储部111中存储准备用方案和多个处理过程用方案。在成膜装置1的制造之初只存储准备用方案。在生成与各个成膜装置相对应的热模型等时执行准备用方案。处理过程用方案是每一次使用者实际进行热处理(处理过程)所准备的方案。处理过程用方案规定了从向反应管2装载晶片W到处理完毕的晶片W的卸载的各个部分的温度的变化、反应管2内的压力变化、处理气体供给的开始以及停止的时刻和供给量等。
ROM 112由EEPROM、闪存器、硬盘等构成,是存储CPU 115的动作程序等的存储介质。RAM 113起到CPU 115的工作区域等的作用。
I/O端口114与操作面板121、温度传感器122、压力计123、加热器控制器124、MFC控制部125、阀控制部126、真空泵127、舟升降机128、等离子体控制部129等连接,控制数据和信号等的输入输出。
CPU(Central Processing Unit;中央处理器)115构成控制部100的中枢。CPU 115执行存储在ROM 112中的控制方案,根据来自操作面板121的指示,按照存储在方案存储部111中的方案(处理过程用方案)控制成膜装置1的操作。即,CPU 115使温度传感器(组)122、压力计(组)123、MFC控制部125等测定反应管2、排气管内的各个部分的温度、压力、流量等。此外,CPU 115根据该测定数据,将控制信号等输出到加热器控制器124、MFC控制部125、阀控制部126、真空泵127等,根据上述处理过程用方案控制上述各个部分。
接下来,说明用图1中示出的装置在控制部100的控制下进行的成膜方法(所谓的ALD或MLD成膜)。在该成膜方法中,通过等离子体CVD在半导体(Si)晶片W上形成氧化硅膜。为此,向收纳晶片W的处理区域2a选择性地供给包含DIPAS气体作为硅源气体的第一处理气体、和包含氧化气体即氧(O2)气的第二处理气体。图4是表示根据本发明实施方式的成膜处理方案的时间图。
另外,在下面的说明中,构成成膜装置1的各个部分的动作由控制部100(CPU 115)控制。如上所述,控制部100(CPU 115)控制加热器控制器124(加热器7)、MFC控制部125(气体分散喷嘴8、9、气体喷嘴16)、阀控制部126、真空泵127、等离子体控制部129(等离子体生成部10)等,从而使各个处理中处理区域2a的温度、压力、气体流量等达到根据图4中示出的方案的条件。
首先,将保持有多片例如50~100片的300mm尺寸的晶片W的常温的晶舟6装载到设定为规定温度的反应管2内的处理区域2a中,密闭反应管2。接下来,对反应管2内进行抽真空从而维持在规定的处理压力。接下来,一边使晶舟6转动,一边从分别进行流量控制的气体分散喷嘴9、8间断地供给第一和第二处理气体。
概括地说,首先从气体分散喷嘴9的气体喷射孔供给包含DIPAS气体的第一处理气体,从而形成相对于晶舟6上的多个晶片W平行的气流。在该期间内,DIPAS气体的分子、或者其分解产生的分解生成物的分子或原子被吸附到晶片的表面上而形成吸附层(吸附阶段)。
接下来,从气体分散喷嘴8的气体喷射孔供给包含O2气的第二处理气体,从而形成相对于晶舟6上的多个晶片W平行的气流。第二处理气体在通过一对电极11之间的等离子体形成区域时被选择性地激励,一部分被等离子体化。此时形成了O*、O2 *等氧自由基(活性种)。这些自由基从等离子体生成部10的开口10b流向反应管2的中心,以层流状态供给到晶片W相互之间。当向晶片W上供给氧自由基时,与晶片W上的吸附层的Si反应,由此在晶片W上形成硅氧化物薄膜(氧化阶段)。
如图4所示,在根据该实施方式的成膜方法中,通过交替重复第一至第四工序T1~T4,交替重复上述的吸附阶段和氧化阶段。即,通过将由第一至第四工序T1~T4构成的循环重复多次、例如100次,层叠在每个循环中形成的硅氧化物的薄膜,从而得到最终厚度的氧化硅膜。
具体地说,在第一工序T1中,对处理区域2a进行DIPAS气体的供给,同时维持对处理区域2a供给O2气的遮断。在第二工序T2中,维持对处理区域2a供给DIPAS气体和O2气的遮断。在第三工序T3中,对处理区域2a进行O2气的供给,同时维持对处理区域2a供给DIPAS气体的遮断。此外,在第三工序T3中,打开RF电源11a,由等离子体生成部10使O2气等离子体化,从而在激励状态下向处理区域2a供给O2气。在第四工序T4中,维持对处理区域2a供给DIPAS气体和O2气的遮断。另外,贯穿第一至第四工序T1~T4,连续地供给作为稀释或者净化气体使用的N2气。
第二和第四工序T2、T4是用于排除反应管2内残留的气体的净化工序。其中所谓净化是指通过一边流动N2气等不活性气体一边对反应管2内进行真空排气,或者维持全部的气体供给的遮断对反应管2内进行真空排气,从而除去反应管2内的残留气体。此外,也可以在第二和第四工序T2、T4的前半程只进行真空排气,在后半程同时进行真空排气和不活性气体的供给。另外,在第一和第三工序T1、T3中,在供给第一和第二处理气体时可以停止反应管2内的真空排气。但是,在一边对反应管2内进行真空排气,一边进行第一和第二处理气体的供给的情况下,可以贯穿第一至第四工序T1~T4连续对反应管2内进行真空排气。
另外,在成膜顺序上,优选在成膜处理中不使处理区域2a的温度发生变化。因此,在本实施方式中,优选贯穿上述吸附和氧化阶段,将处理区域2a的温度设定为相同的温度,例如室温(例如25℃)。此外,优选贯穿上述吸附和氧化阶段,连续进行处理区域2a的排气。
更具体地说,在吸附阶段,首先,如图4(c)所示,一边向处理区域2a供给规定量的氮气,一边将处理区域2a设定为规定的温度,例如如图4(a)所示,设定为室温(例如25℃)。在该情况下,由于将处理区域2a设定为室温,所以不用加热器7加热处理区域2a。此外,对反应管2内进行排气,将处理区域2a设定为规定的压力,例如如图4(b)所示66.5Pa(0.5Torr)。并且,向处理区域2a供给规定量的例如如图4(d)所示0.3slm的DIPAS气体、和如图4(c)所示规定量的氮气(T1:流动工序)。
在实施了吸附阶段的流动工序1~3秒,例如如图4(h)所示2秒之后,停止DIPAS气体的供给。另一方面,如图4(c)所示,从气体分散喷嘴9向处理区域2a连续供给规定量的氮气。然后,对反应管2内部进行排气,由此排出处理区域2a的气体(T2:净化工序)。
接下来,在氧化阶段,首先,如图4(c)所示,向处理区域2a供给规定量的氮气,同时将处理区域2a设定为规定的温度,例如如图4(a)所示室温(例如25℃)。此外,对反应管2内部进行排气,将处理区域2a设定为规定的压力,例如如图4(b)所示66.5Pa(0.5Torr)。然后,如图4(g)所示,在电极11之间施加(RF:打开)500W的高频功率。与此同时,向一对电极11之间(等离子体生成部10内)供给规定量,例如如图4(e)所示1slm的氧气。供给到一对电极11之间的氧气被等离子体激励(活化),形成含氧原子的自由基(O*、O2 *)。从等离子体生成部10向处理区域2a供给这样形成的含氧原子的自由基。此外,如图4(c)所示,从气体分散喷嘴9向处理区域2a供给规定量的氮气(T3:流动工序)。
这些自由基从等离子体生成部10的开口10b流向反应管2的中心,以层流状态供给到晶片W相互之间。在晶片W上供给含氧原子的自由基时,与晶片W上的吸附层的Si发生反应,由此在晶片W上形成硅氧化物的薄膜。
在实施了氧化阶段的流动工序5~30秒,例如如图4(h)所示8秒之后,停止氧气的供给,同时停止高频功率的施加。另一方面,如图4(c)所示,从气体分散喷嘴9连续向处理区域2a供给规定量的氮气。然后,对反应管2内部进行排气,由此排出处理区域2a的气体(T4:净化工序)。
按照这种方式,将按照该顺序交替包含吸附和氧化阶段的循环重复进行规定的次数。在各个循环中,向晶片W供给DIPAS形成吸附层,接着供给包含氧原子的自由基以氧化吸附层,从而形成氧化硅膜。由此能够高效并且高品质地形成氧化硅膜。
如果在晶片W上形成了希望厚度的氧化硅膜,则将晶片W卸载。具体地说,向反应管2供给规定量的氮气,使反应管2的压力恢复到常压。然后,通过舟升降机25使盖体18下降,从而将晶片W与晶舟6一起从反应管2中卸载。
图7A—图7F是模式地表示在使用DIPAS气体作为硅源气体的情况下Si晶片W表面的反应的图。
向处理区域2a供给的DIPAS气体在处理区域2a内被加热而活性化,如图7A到图7B所示,在半导体晶片W的表面形成含硅的吸附层。其中,Si晶片W表面上存在的OH基源自例如已经堆积的SiO2膜的表面。当形成吸附层时,由于N(CH(CH3)2)2从硅分离,所以吸附层中不含氮(N)。此外,N(CH(CH3)2)2通过净化工序被除去。
接下来,经过净化工序之后,向处理区域2a供给氧自由基。如图7C到图7D所示,由此氧化晶片W上的吸附层(吸附层的H被O置换),在晶片W上形成氧化硅膜。重复这样的包括吸附和氧化的一个循环,从而如图7E到图7F所示那样层叠氧化硅膜。
如上所述,由于在硅源气体中使用DIPAS这样的一价氨基硅烷,所以形成的氧化硅膜中难以包含氮,能形成优良的氧化硅膜。此外,在形成吸附层时难以产生结构阻碍,不会妨碍分子的吸附,所以不降低吸附速度就能得到高的成膜率。而且DIPAS的热稳定性优异,流量控制容易,所以可以使用现有的方式进行原料的供给,具有高的通用性。
成膜处理中处理区域2a的温度(处理温度)设定在—32℃~700℃的范围内。当处理区域2a的温度比—32℃低时,恐怕难以供给作为硅源气体的DIPAS。原因是考虑与DIPAS气体供给源连接的处理气体供给管9、MFC 125等的压损时,得到DIPAS的实用蒸气压的下限温度是—32℃。此外,当处理区域2a的温度比700℃高时,恐怕形成的氧化硅膜的膜质和膜厚均匀性等恶化。
这个温度优选设定为室温~500℃,更优选室温~400℃,更优选室温~300℃。由此能够发挥在更低温下形成薄膜的特征(功能)。
DIPAS气体的供给量优选为10sccm~10slm。比10sccm少时,产生不能向晶片W的表面供给充分的DIPAS的可能性。该供给量比10slm多时,产生吸附到晶片W的表面的DIPAS的比例过低的可能性。DIPAS气体的供给量更优选为0.05slm~3slm。通过使DIPAS气体的供给量在这样的范围内,促进晶片W的表面上的DIPAS的反应。
供给DIPAS时处理区域2a的压力(处理压力)优选为0.133Pa(1mTorr)~13.3kPa(100Torr)。通过成为这样范围的压力,能够促进晶片W的表面上的DIPAS的反应。
氧气的供给量优选为0.1sccm~10slm。通过使氧气的供给量在这样的范围内,能够毫无问题地产生等离子体,并且形成氧化硅膜,还能够供给充分的氧自由基。氧气的供给量更优选为0.5slm~5slm。通过使氧气的供给量在这样的范围内,能够稳定地产生等离子体。
RF功率优选为10W~1500W。当比10W少时,难以形成氧自由基,当超过1500W时,恐怕构成等离子体生成部10的石英壁会受到损害。RF功率更优选为50W~500W。通过使RF功率在这样的范围内,能够高效地形成氧自由基。
供给氧气时处理区域2a的压力(处理压力)优选为0.133Pa(1mTorr)~13.3kPa(100Torr)。通过使压力为这样的范围,容易产生氧自由基,并且处理区域2a中氧自由基的平均自由行程变大。该压力更优选为40Pa(0.3Torr)~400Pa(3Torr)。通过使压力为这样的范围,容易进行处理区域2a的压力控制。
此外,等离子体生成部10内的压力(气体喷射孔的压力)优选为0.133Pa(1mTorr)~13.3kPa(100Torr),更优选为70Pa(0.53Torr)~400Pa(3Torr)。通过使压力为这样的范围,能够毫无问题地产生等离子体,同时形成氧化硅膜,还能够供给充分的氧自由基。
<实验1>
为了确认处理区域2a的优选温度,使处理区域2a的设定温度发生变化而在半导体晶片W上形成氧化硅膜,测定了此时膜厚的循环率以及面间均匀性。其中,将处理区域2a的设定温度设定为室温(25℃)、75℃、100℃、200℃和300℃。
图5是表示处理区域的设定温度与膜厚的循环率之间的关系的图。图6是表示处理区域的设定温度与膜厚的面间均匀性(±%)之间的关系的图。另外,图5的循环率的值表示各个温度下每一个循环的膜厚与处理区域的设定温度为25℃时每一个循环的膜厚(
Figure A200910126757D0020114258QIETU
)的比(即,将25℃时的每一个循环的膜厚作为基准值“1”使用)。
如图6所示,通过将处理区域的设定温度设定为室温(25℃)~200℃,能够显著改善面间均匀性。具体地说,通过使设定温度在该温度范围内,使面间均匀性降低到将处理区域的设定温度设定为300℃时面间均匀性的1/2~1/5。而且,如图5所示,通过将处理区域的设定温度设定为室温(25℃)~200℃,提高了循环率。具体地说,通过使设定温度在该温度范围内,能够使循环率达到将处理区域的设定温度设定为300℃时循环率的1.1~1.3倍。因此,发现将处理区域的设定温度设定为室温(25℃)~200℃最好。
<实验2>
对于通过上述实施方式的方法形成的氧化硅膜,用X射线光电子分光装置(XPS:X-ray Photoelectron Spectrometer;X射线光电子分光计),进行其组成的确认。其结果是确认了氧化硅膜中不含氮。此外,对于通过该方法形成的氧化硅膜,用原子间力显微镜(AFM)进行表面粗糙度的图像分析。其结果是确认了氧化硅膜的表面状态良好。因此,发现了通过上述方法在室温这样的低温下能够形成优良的氧化硅膜。
<实验3>
当求出根据上述实施方式的方法所形成的氧化硅膜的每分钟的成膜率(沉积率)时,为1.5nm/min。此外,可以确认,即使在室温下吸附速度也不慢,生产率没有降低。认为这是由于在硅源气体中使用DIPAS,在吸附阶段吸附Si时,难以产生结构阻碍,不会妨碍其它分子的吸附。
<实验4>
用二价以及三价氨基硅烷气体,例如BTBAS(SiH2(NHC(CH3)3)2)、3DMAS(SiH(N(CH3)2)3)作为硅源气体,确认是否能够在室温下形成优质的氧化硅膜。其结果是不能在室温下形成优质的氧化硅膜。
<实验5>
用一价氨基硅烷即SiH3(N(CH3)2)作为硅源气体,确认是否能够在室温下形成氧化硅膜。其结果是SiH3(N(CH3)2)的热稳定性差,流量控制困难。因此,确认了在如图1所示的批量式处理装置中,优选不用SiH3(N(CH3)2)作为硅源气体,以及优选用热稳定性优异并且流量控制容易的DIPAS。
<归结及变形例>
如上所述,根据本实施方式,通过重复多次由吸附阶段和氧化阶段构成的循环,在半导体晶片W上形成氧化硅膜,从而可以在低温下形成优良的氧化硅膜,其中,在吸附阶段用DIPAS吸附Si,在氧化阶段使吸附的Si氧化。
此外,由于在硅源气体中使用DIPAS,所以吸附速度不变慢,生产率不降低。而且,DIPAS的热稳定性优异,容易进行流量控制,所以可以用现有的方式进行原料供给,得到高的通用性。
在上述实施方式中,例示了用氧作为氧化气体的情况。关于这一点,为了氧化吸附在半导体晶片W上的Si,可以使用其它气体,例如臭氧(O3)、水蒸汽(H2O)等。例如,在用臭氧作为氧化气体的情况下,优选采用的处理条件是:处理区域2a的温度为—32℃~600℃,压力为655Pa(5Torr)、氧(O2)为10slm、臭氧为250g/Nm3
在上述实施方式中,例示了吸附阶段处理区域2a的温度与氧化阶段处理区域2a的温度设定为相同的温度(室温)的情况。关于这一点,也可以使吸附阶段处理区域2a的温度与氧化阶段处理区域2a的温度为不同的温度,例如吸附阶段处理区域2a的温度为室温,氧化阶段处理区域2a的温度为100℃。
在上述实施方式中,例示了通过等离子体形成氧自由基的情况。关于这一点,为了使氧气活化,也可以采用其它介质,例如催化剂、UV、热、磁力等。例如,从臭氧发生器向反应管2内供给臭氧,通过反应管2内的热或者设置在反应管2外的加热器的热也可以使其活化。
在上述实施方式中,例示了通过重复上述循环100次,在半导体晶片W上形成氧化硅膜的情况。也可以减小循环的重复次数,例如50次,也可以增大循环的重复次数,例如200次。在该情况下,可以根据循环的重复次数,例如通过调整DIPAS气体和氧气的供给量、RF功率等,形成希望厚度的氧化硅膜。
在上述实施方式中,例示了在半导体晶片W上形成氧化硅膜的情况。本发明也适用于其它的含硅绝缘膜,例如形成氮化硅的情况。在该情况下也通过重复多次由吸附阶段和氮化阶段构成的循环,在半导体晶片W上形成氮化硅膜,其中,吸附阶段例如用DIPAS吸附Si,氮化阶段用氮化气体使吸附的Si氮化。例如可以使用选自氨(NH3)、一氧化二氮(N2O)、一氧化氮(NO)、氮(N2)中的一种或多种气体作为氮化气体。
在上述实施方式中,例示了在供给处理气体时供给氮气作为稀释气体的情况。关于这一点,也可以在供给处理气体时不供给氮气。但是,由于包含氮气作为稀释气体使处理时间的设定等变得容易,所以优选包含稀释气体。稀释气体优选为不活性气体,除了氮气外,氦(He)、氖(Ne)、氩(Ar)、氪(Kr)、氙(Xe)也适用。
在上述实施方式中,例示了从共同的分散喷嘴中供给硅源气体和氮气。取而代之,也可以对于每种气体配设供给喷嘴。而且,也可以在反应管2的下端附近的侧面上插通多根气体供给喷嘴,以便于从多根喷嘴中导入相同的气体。在该情况下,由于从多根气体供给喷嘴向反应管2内供给处理气体,所以能够均匀地向反应管2内导入处理气体。
在上述实施方式中,使用单管结构的批量式热处理装置作为成膜装置。本发明也适用于例如处理容器由内管和外管构成的双管结构的批量式立式热处理装置。而且,本发明也适用于单片式热处理装置。被处理基板不限于半导体晶片W,例如也可以是LCD用的玻璃基板。

Claims (20)

1.一种半导体处理用的成膜方法,其在可选择性地供给包含二异丙基氨基硅烷气体的第一处理气体和包含氧化气体或氮化气体的第二处理气体的处理区域内,通过CVD在被处理基板上形成含硅绝缘膜,所述半导体处理用的成膜方法的特征在于:
重复进行多次交替地包括第一工序和第二工序的循环,层叠在每个所述循环中形成的薄膜,由此形成具有规定厚度的所述含硅绝缘膜,其中,
所述第一工序,对所述处理区域进行所述第一处理气体的供给,另一方面,维持不向所述处理区域供给所述第二处理气体,在所述被处理基板的表面形成含硅吸附层,
所述第二工序,对所述处理区域进行所述第二处理气体的供给,另一方面,维持不向所述处理区域供给所述第一处理气体,氧化或氮化所述被处理基板表面上的所述吸附层,
所述第二工序包括在已利用激励机构将所述第二处理气体激励的状态下供向所述处理区域的激励期间。
2.如权利要求1所述的方法,其特征在于:
在所述第一工序中,将所述处理区域的温度设定为—32℃~300℃。
3.如权利要求1所述的方法,其特征在于:
在所述第一工序中,将所述处理区域的温度设定为室温~200℃。
4.如权利要求1所述的方法,其特征在于:
在所述第一工序和第二工序中,将所述处理区域的温度设定为相同的温度。
5.如权利要求1所述的方法,其特征在于:
所述第二处理气体包含氧化气体,所述氧化气体选自氧、臭氧、和水蒸气。
6.如权利要求1所述的方法,其特征在于:
所述第二处理气体包括氮化气体,所述氮化气体选自氨、一氧化二氮、一氧化氮和氮。
7.如权利要求5所述的方法,其特征在于:
所述第二处理气体包含作为氧化气体的氧气,在所述第一工序和第二工序中,将所述处理区域的温度在—32℃~200℃的范围内设定为相同温度。
8.如权利要求1所述的方法,其特征在于:
在所述第一工序中,将所述处理区域的压力设定为0.133Pa~13.3kPa。
9.如权利要求1所述的方法,其特征在于:
在所述第一工序中,以10sccm~10s1m的流量向所述处理区域供给所述二异丙基氨基硅烷。
10.如权利要求1所述的方法,其特征在于:
在所述第二工序中,将所述处理区域的压力设定为0.133Pa~13.3kPa。
11.如权利要求1所述的方法,其特征在于:
在所述第二工序中,以1sccm~10s1m的流量向所述处理区域供给所述氧化气体或氮化气体。
12.如权利要求1所述的方法,其特征在于:
所述激励机构通过选自等离子体、催化剂、UV、热、和磁力的介质激励所述第二处理气体。
13.如权利要求12所述的方法,其特征在于:
所述激励机构包括构成所述第二处理气体的供给系统的一部分且安装到形成所述处理区域的反应室中的等离子体生成部。
14.如权利要求1所述的方法,其特征在于:
所述循环还包括在所述第二工序之后,维持不向所述处理区域供给第一和第二处理气体,并且对所述处理区域进行排气的中间工序。
15.如权利要求14所述的方法,其特征在于:
所述循环还包括在所述第一和第二工序之间,维持不向所述处理区域供给第一和第二处理气体,并且对所述处理区域进行排气的中间工序。
16.如权利要求14所述的方法,其特征在于:
所述循环在其整个期间对所述处理区域进行连续排气。
17.如权利要求16所述的方法,其特征在于:
所述中间工序包括向所述处理区域供给不活性气体的期间。
18.如权利要求1所述的方法,其特征在于:
在所述处理区域内,在上下方向上设置间隔且层叠的状态下收纳多个被处理基板,所述多个被处理基板通过配设在所述处理区域周围的加热器被加热。
19.一种形成含硅绝缘膜的半导体处理用的成膜装置,包括:
反应室,其具有收纳被处理基板的处理区域;
支撑部件,其在所述处理区域内支撑所述被处理基板;
加热器,其加热所述处理区域内的所述被处理基板;
排气系统,其对所述处理区域内进行排气;
第一处理气体供给系统,其向所述处理区域供给包含二异丙基氨基硅烷气体的第一处理气体;
第二处理气体供给系统,其向所述处理区域供给包含氧化气体或氮化气体的第二处理气体;
激励机构,其激励供给到所述处理区域内的所述第二处理气体;和
控制部,其控制所述装置的动作,
为了通过CVD在所述被处理基板上形成含硅绝缘膜,所述控制部预先设定为,
重复进行多次交替地包括第一工序和第二工序的循环,层叠在每个所述循环中形成的薄膜,由此形成具有规定厚度的所述含硅绝缘膜,
所述第一工序:对所述处理区域进行所述第一处理气体的供给,另一方面,维持不向所述处理区域供给所述第二处理气体,在所述被处理基板的表面形成含硅吸附层,
所述第二工序:对所述处理区域进行所述第二处理气体的供给,另一方面,维持不向所述处理区域供给所述第一处理气体,氧化或氮化所述被处理基板表面上的所述吸附层,
所述第二工序包括在已利用激励机构将所述第二处理气体激励的状态下供向所述处理区域的激励期间。
20.一种计算机可读取介质,其包含用于在处理器上执行的程序指令,其特征在于:
在由处理器执行时,所述程序指令控制成膜装置,所述成膜装置是在可选择性地供给包含二异丙基氨基硅烷气体的第一处理气体和包含氧化气体或氮化气体的第二处理气体的处理区域内,通过CVD在被处理基板上形成含硅绝缘膜的半导体处理用的成膜装置,
重复进行多次交替地包括第一工序和第二工序的循环,层叠在每个所述循环中形成的薄膜,由此形成具有规定厚度的所述含硅绝缘膜,其中,
所述第一工序,对所述处理区域进行所述第一处理气体的供给,另一方面,维持不向所述处理区域供给所述第二处理气体,在所述被处理基板的表面形成含硅吸附层,
所述第二工序,对所述处理区域进行所述第二处理气体的供给,另一方面,维持不向所述处理区域供给所述第一处理气体,氧化或氮化所述被处理基板表面上的所述吸附层,
所述第二工序包括在已利用激励机构将所述第二处理气体激励的状态下供向所述处理区域的激励期间。
CN2009101267574A 2008-02-01 2009-02-01 薄膜形成方法和用于形成含硅绝缘膜的装置 Active CN101497993B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2008022279 2008-02-01
JP2008-022279 2008-02-01
JP2008022279 2008-02-01
JP2009002550A JP4959733B2 (ja) 2008-02-01 2009-01-08 薄膜形成方法、薄膜形成装置及びプログラム
JP2009002550 2009-01-08
JP2009-002550 2009-01-08

Publications (2)

Publication Number Publication Date
CN101497993A true CN101497993A (zh) 2009-08-05
CN101497993B CN101497993B (zh) 2012-09-05

Family

ID=40939256

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101267574A Active CN101497993B (zh) 2008-02-01 2009-02-01 薄膜形成方法和用于形成含硅绝缘膜的装置

Country Status (5)

Country Link
US (2) US7923378B2 (zh)
JP (1) JP4959733B2 (zh)
KR (1) KR101247828B1 (zh)
CN (1) CN101497993B (zh)
TW (1) TWI456659B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102162089A (zh) * 2010-02-15 2011-08-24 东京毅力科创株式会社 成膜方法、成膜装置及成膜装置的使用方法
CN102168255A (zh) * 2010-02-05 2011-08-31 东京毅力科创株式会社 形成包含非晶碳膜的层叠构造的方法和装置
CN102560417A (zh) * 2010-12-21 2012-07-11 东京毅力科创株式会社 氮化硅膜的成膜方法和成膜装置
CN104911565A (zh) * 2014-03-11 2015-09-16 中微半导体设备(上海)有限公司 一种化学气相沉积装置

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5616591B2 (ja) * 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2011091362A (ja) * 2009-09-28 2011-05-06 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5839514B2 (ja) * 2010-02-15 2016-01-06 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5491928B2 (ja) * 2010-03-29 2014-05-14 東京エレクトロン株式会社 粒子検出方法及び粒子検出装置
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
JP2011243620A (ja) * 2010-05-14 2011-12-01 Tokyo Electron Ltd 成膜方法および成膜装置
US8476099B2 (en) 2010-07-22 2013-07-02 International Business Machines Corporation Methods for improved adhesion of protective layers of imager microlens structures by forming an interfacial region
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5692850B2 (ja) * 2010-12-28 2015-04-01 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
WO2012107138A1 (en) * 2011-02-07 2012-08-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
EP2484803B1 (en) * 2011-02-07 2017-03-29 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from aluminium and silicon precursors
EP2484801B1 (en) * 2011-02-07 2017-08-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from TMA or TEA and silicon precursors
EP2484802B1 (en) * 2011-02-07 2017-03-29 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of deposition of Al2O3/SiO2 stacks from DMAI and silicon precursors
JP5886531B2 (ja) * 2011-02-24 2016-03-16 東京エレクトロン株式会社 成膜方法および成膜装置
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
CN103890910B (zh) * 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6091940B2 (ja) * 2013-03-11 2017-03-08 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2014209558A (ja) * 2013-03-27 2014-11-06 東京エレクトロン株式会社 シリコン酸化膜の形成方法、及び、シリコン酸化膜の形成装置
US20140319488A1 (en) * 2013-04-25 2014-10-30 Veeco Ald Inc. Thin film formation for device sensitive to environment
JP2014064039A (ja) * 2013-12-25 2014-04-10 Tokyo Electron Ltd 成膜方法および成膜装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10636919B2 (en) * 2015-03-09 2020-04-28 Toshiba Mitsubishi-Electric Industrial Systems Corporation Solar cell manufacturing method
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
JP6540571B2 (ja) * 2016-03-24 2019-07-10 豊田合成株式会社 半導体装置の製造方法及び半導体装置
JP6759366B2 (ja) * 2016-06-01 2020-09-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 3dnand用のトンネル酸化物の高圧でのアンモニア窒化
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
JP6836953B2 (ja) * 2016-12-13 2021-03-03 東京エレクトロン株式会社 窒化シリコンから形成された第1領域を酸化シリコンから形成された第2領域に対して選択的にエッチングする方法
US10319613B2 (en) 2016-12-13 2019-06-11 Tokyo Electron Limited Method of selectively etching first region made of silicon nitride against second region made of silicon oxide
US10354923B2 (en) * 2017-05-31 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for atomic layer deposition of a dielectric over a substrate
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP7203588B2 (ja) * 2018-12-17 2023-01-13 東京エレクトロン株式会社 熱処理装置
JP7097809B2 (ja) * 2018-12-28 2022-07-08 東京エレクトロン株式会社 ガス導入構造、処理装置及び処理方法
US11643724B2 (en) * 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2022095428A (ja) 2020-12-16 2022-06-28 東京エレクトロン株式会社 成膜方法及び成膜装置

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4872938A (en) * 1987-07-16 1989-10-10 Texas Instruments Incorporated Processing apparatus
US5248636A (en) * 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US4837113A (en) * 1987-07-16 1989-06-06 Texas Instruments Incorporated Method for depositing compound from group II-VI
US4904621A (en) * 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5935334A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
KR20050018641A (ko) * 2002-07-19 2005-02-23 에비자 테크놀로지, 인크. 아미노실란 및 오존을 이용한 저온 유전체 증착
US7297641B2 (en) * 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
CN1643674A (zh) 2002-07-19 2005-07-20 阿维扎技术公司 使用氨基硅烷和臭氧的低温介电沉积
US6774040B2 (en) * 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
WO2004066377A1 (ja) 2003-01-24 2004-08-05 Tokyo Electron Limited 被処理基板上にシリコン窒化膜を形成するcvd方法
JP2004281853A (ja) 2003-03-18 2004-10-07 Hitachi Kokusai Electric Inc 基板処理装置
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
KR101417728B1 (ko) * 2008-03-12 2014-07-11 삼성전자주식회사 지르코늄 유기산질화막 형성방법 및 이를 이용하는 반도체장치 및 그 제조방법
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5044579B2 (ja) * 2009-01-27 2012-10-10 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法、薄膜形成装置及びプログラム
JP5514129B2 (ja) * 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP5573772B2 (ja) * 2010-06-22 2014-08-20 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5692850B2 (ja) * 2010-12-28 2015-04-01 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102168255A (zh) * 2010-02-05 2011-08-31 东京毅力科创株式会社 形成包含非晶碳膜的层叠构造的方法和装置
CN102168255B (zh) * 2010-02-05 2015-03-25 东京毅力科创株式会社 形成包含非晶碳膜的层叠构造的方法和装置
CN102162089A (zh) * 2010-02-15 2011-08-24 东京毅力科创株式会社 成膜方法、成膜装置及成膜装置的使用方法
CN102162089B (zh) * 2010-02-15 2014-11-26 东京毅力科创株式会社 成膜方法、成膜装置及成膜装置的使用方法
CN102560417A (zh) * 2010-12-21 2012-07-11 东京毅力科创株式会社 氮化硅膜的成膜方法和成膜装置
CN104911565A (zh) * 2014-03-11 2015-09-16 中微半导体设备(上海)有限公司 一种化学气相沉积装置
CN104911565B (zh) * 2014-03-11 2017-12-22 中微半导体设备(上海)有限公司 一种化学气相沉积装置

Also Published As

Publication number Publication date
US7923378B2 (en) 2011-04-12
JP2009206500A (ja) 2009-09-10
TW200941577A (en) 2009-10-01
US20110151679A1 (en) 2011-06-23
US8357619B2 (en) 2013-01-22
JP4959733B2 (ja) 2012-06-27
CN101497993B (zh) 2012-09-05
KR20090084737A (ko) 2009-08-05
TWI456659B (zh) 2014-10-11
KR101247828B1 (ko) 2013-03-26
US20090203227A1 (en) 2009-08-13

Similar Documents

Publication Publication Date Title
CN101497993B (zh) 薄膜形成方法和用于形成含硅绝缘膜的装置
CN101154589B (zh) 形成硅氧化膜的成膜方法和装置
CN1891859B (zh) 氮氧化硅膜的形成方法
CN101192534B (zh) 半导体处理用的成膜装置及其使用方法
TWI440087B (zh) 半導體製程之薄膜形成裝置及方法、與電腦可讀取媒體
US7825039B2 (en) Vertical plasma processing method for forming silicon containing film
CN100477116C (zh) 硅氧化膜的形成方法和硅氧化膜的形成装置
TWI478238B (zh) 成膜方法及半導體製程用裝置
US7507676B2 (en) Film formation method and apparatus for semiconductor process
TWI461567B (zh) 於直立式批次薄膜形成設備中之薄膜形成方法
US8025931B2 (en) Film formation apparatus for semiconductor process and method for using the same
US8697578B2 (en) Film formation apparatus and method for using same
CN101440482B (zh) 薄膜形成装置及其使用方法
US8349401B2 (en) Film formation apparatus and method for using same
CN101140884B (zh) 半导体处理用的成膜方法和装置
CN101481794A (zh) 半导体处理的成膜方法和装置
CN101407910B (zh) 半导体处理用的成膜装置
CN101381861A (zh) 成膜方法
JP7457818B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム、補助プレートおよび基板保持具
JP2011159906A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant