CN101416043B - 使用光学计量来测量晶片上形成的受损结构 - Google Patents

使用光学计量来测量晶片上形成的受损结构 Download PDF

Info

Publication number
CN101416043B
CN101416043B CN2007800123940A CN200780012394A CN101416043B CN 101416043 B CN101416043 B CN 101416043B CN 2007800123940 A CN2007800123940 A CN 2007800123940A CN 200780012394 A CN200780012394 A CN 200780012394A CN 101416043 B CN101416043 B CN 101416043B
Authority
CN
China
Prior art keywords
damaged
wafer
periodic structure
simulated diffraction
phantom outline
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2007800123940A
Other languages
English (en)
Other versions
CN101416043A (zh
Inventor
凯文·拉利
麦里特·法克
冉德哈·散达冉冉珍
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101416043A publication Critical patent/CN101416043A/zh
Application granted granted Critical
Publication of CN101416043B publication Critical patent/CN101416043B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明提供一种使用光学计量来测量半导体晶片上形成的受损结构的方法。该方法包括从受损周期结构获得测得的衍射信号。定义该受损周期结构的假想轮廓。该假想轮廓具有未受损部分和受损部分,所述未受损部分对应所述受损周期结构中第一材料的未受损区域,所述受损部分对应所述受损周期结构中第一材料的受损区域。所述未受损部分和受损部分具有与其相关联的不同特性。使用所述假想轮廓来计算假想受损周期结构的模拟衍射信号。比较所述测得的衍射信号和所述模拟衍射信号。如果所述测得的衍射信号和所述模拟衍射信号在匹配判据下匹配,则基于用于计算所述模拟衍射信号的所述假想轮廓的受损部分,得到所述受损周期结构的损伤量。

Description

使用光学计量来测量晶片上形成的受损结构
技术领域
本发明总体上涉及光学计量,更具体地,涉及通过使用光学计量来测量晶片上形成的受损结构。
背景技术
光学计量包括将入射光束射向结构、测量由此产生的折射光束并分些折射光束以确定各种特性,例如结构的轮廓。在半导体制造中,光学计量通常用于质量保证。例如,在半导体晶片上的半导体芯片附近制造周期光栅后,使用光学计量系统来确定周期光栅的轮廓。通过确定周期光栅的轮廓,并且通过延伸周期光栅附近的半导体芯片,能够评估用于形成周期光栅的制造方法的质量。
常规光学计量被用来确定在半导体晶片上形成的结构的确定性轮廓。例如,常规光学计量被用来确定结构的临界尺寸。然而,该结构可能由多种随机效应形成,例如受损材料。
发明内容
在一个示例性实施例中,一种通过使用光学计量来测量晶片上形成的受损结构的方法,该方法包括从受损的周期结构获得测得的衍射信号。定义该受损的周期结构的一种假想轮廓。该假想轮廓具有对应该受损的周期结构中第一材料的未受损区域的未受损部分和对应该受损的周期结构中第一材料的受损区域的受损部分。该未受损部分和该受损部分具有与其相关联的不同特性。通过使用该假想轮廓来计算假想的受损周期结构的模拟衍射信号。将测得的衍射信号与模拟衍射信号相比较。如果所述测得的衍射信号和所述模拟衍射信号在匹配判据下匹配,则基于用于计算所述模拟衍射信号的所述假想轮廓的受损部分,得到所述受损周期结构的损伤量。
附图说明
现在将以仅仅示例的方式参照附图来描述示例性实施例,附图中相同的附图标记表示相同的部件,其中:
图1示出根据本发明实施例的处理系统的示例性框图;
图2示出根据本发明实施例的晶片处理系统的简单示意图;
图3示出根据本发明实施例的光学计量系统的示例性视图;
图4A和4B示出根据本发明实施例的示例性结构和假想轮廓;
图5A和5B示出根据本发明实施例的另一示例性结构和假想轮廓;
图6示出根据本发明实施例的测量结构的一部分的简单示意图;
图7示出根据本发明实施例的光学计量系统的操作方法;
图8示出根据本发明实施例的光学计量系统的另一操作方法;
图9A-9G示出根据本发明实施例的用于双大马士革过程的简单流程图;
图10示出根据本发明实施例的处理系统的操作方法的示例性流程图;
图11A示出根据本发明实施例的先过孔后沟槽(VFTL)处理的简单示意图;
图11B示出根据本发明实施例的先沟槽后过孔(TFVL)处理的简单示意图;
图12A-12C示出根据本发明实施例的晶片图的简单视图。
具体实施方式
本申请涉及2006年3月30日提交的名为“DAMAGE ASSESSMENTOF A WAFER USING OPTICAL METROLOGY”的共同未决申请No.XXX,XXX代理人案卷号465422000200;2006年3月30日提交的名为“MEASURING A DAMAGED STRUCTURE FORRMED ON A WAFERUSING OPTICAL METROLOGY”的共同未决申请No.XXX,XXX代理人案卷号465422000300;2006年3月30日提交的名为“CREATING ALIBRARY FOR MEASURING A DAMAGED STRUCTURE FORRMED ONA WAFER USING OPTICAL METROLOGY”的共同未决申请No.XXX,XXX代理人案卷号465422000500。所有这些申请的内容全文在此通过引用而引入。
在材料处理方法论中,图案蚀刻包括将一薄层光敏材料(例如光刻胶)施加到随后要形成图案的晶片的上表面,以提供掩模用于在蚀刻期间将该图案传递到下层薄膜上。光敏材料的图案形成一般包括:通过使用例如微光刻系统由辐射源透过光敏材料的分划板(及相关光学器件)曝光,随后通过使用显影溶剂去除光敏材料的辐射区域(正性光刻胶时)或未辐射区域(负性光刻胶时)。
此外,可使用单层和/或多层掩模以在薄膜上蚀刻特征。可使用软掩模和/或硬掩模层。例如,当使用软掩模顶层在薄膜中蚀刻特征时,在用于薄膜的其它蚀刻步骤之前,通过使用单独的蚀刻步骤将软掩模层中的掩模图案传递到硬掩模层。软掩模例如可从用于硅平面工艺的几种材料中选择,包括但不限于与较小特征尺寸兼容的光刻胶材料或ArF光刻胶材料。硬掩模例如可从用于硅平面工艺的几种材料中选择,包括但不限于二氧化硅(SiO2)、氮化硅(Si3N4)和碳。
图1示出根据本发明实施例的处理系统的示例性框图。在所示出的实施例中,处理系统100包括处理工具110、与该处理工具110连接的控制器120以及与该处理工具110的控制器120连接的制造装备系统(MES)130。处理工具110可包括多个能够连接到传送系统150的处理模块115。
此外,集成计量模块(IMM)140可连接到处理工具110。例如,IMM140可连接到传送系统150。或者,IMM140能够以另一种方式连接到处理工具110。处理工具110、控制器120、MES130和IMM140中的至少一个可包括控制部件、GUI部件和/或数据库部件(未示出)。在可选实施例中,这些部件中的一个或多个并非必需的。
一些装备和/或配置信息可通过处理工具110和/或控制器120从工厂系统130获得。工厂级业务规则可用于建立控制制度。业务规则可用于指定常规加工所采取的动作和差错条件时所采取的动作。例如,处理工具110和/或控制器120可独立地操作,或者可在一定程度上由工厂系统130所控制。此外,工厂级业务规则可用于确定何时处理暂停和/或停止,以及当处理暂停和/或停止时做什么。此外,工厂级业务规则可用于确定何时改变处理以及如何改变处理。
业务规则可在控制策略等级、控制规划等级或控制模型等级定义。可指派业务规则以在遇到特定情况时执行。当遇到较高等级和较低等级的匹配的情况时,可执行与较高等级相关联的业务规则。GUI屏幕可用于定义和保持业务规则。具有高于常规安全等级的用户可进行业务规则定义和指派。业务规则可保存在数据库中。可提供如何定义、指派和保存业务规则的文献和帮助屏幕。
MES130可配置为通过使用从数据库报告的与处理工具110和/或控制器120相关联的数据来监视一些系统处理。工厂级业务规则可用于确定监视哪个处理和使用哪个数据。例如,处理工具110和/或控制器120能够独立地采集数据,或者可在一定程度上由工厂系统130控制数据采集处理。此外,工厂级业务规则可用于确定当处理改变、暂停和/或停止时如何管理数据。
此外,MES130可将运行时间配置信息提供给处理工具110和/或控制器120。可通过使用GEM SECS通信协议来交换数据。例如,APC设定、目标、极限值、规则和算法可作为“APC配方(recipe)”、“APC系统规则”和“APC配方参数”从工厂下载至处理工具110和/或控制器120。测量系统配方和设定可作为“IMM配方”、“IMM系统规则”、轮廓应用系统服务器(PAS)和/或“IMM配方参数”从工厂下载至处理工具110和/或控制器120。
一般地,规则允许系统和/或工具操作基于处理系统100的动态状况而改变。在一些装备和/或配置信息起初由处理系统100配置时,处理工具110和/或控制器120可确定这些装备和/或配置信息。此外,工具级规则可用于建立工具级的控制制度。例如,处理工具110和/或IMM140可独立地运行,或者IMM140可在一定程度上由处理工具110控制,工具级规则可用于确定何时处理暂停和/或停止,或者在处理暂停和/停止做什么。此外,工具规则可用于确定何时改变处理、如何改变处理以及如何管理数据。
在图1中,示出了一个处理工具110和一个控制器120,但是对于本发明而言这并不是必需的。半导体处理系统可包括任意数目的处理工具,除了独立的处理工具和模块外还具有任意数目的与其相关联的控制器。
除了任意数目的单独的处理工具和模块外,处理工具110和/或控制器120还可用于构造与其相关联的任意数目的处理工具。其中,处理工具110和/或控制器120可从与处理工具、处理子系统、处理模块和传感器相关的处理收集、提供、处理、存储和显示数据。
处理工具110和/或控制器120可包括多种应用,其中包括至少一个与工具相关的应用、至少一个与模块相关的应用、至少一个与传感器相关的应用、至少一个与界面相关的应用、至少一个与数据库相关的应用、至少一个与GUI相关的应用以及至少一个与配置相关的应用。
系统100可包括可与东京电子有限公司(TEL)的处理工具(例如联合(Unity)工具、特里耶斯(Telius)工具和/或三叠纪(Trias)工具)互相作用的APC系统及其相关处理子系统和方法模块。此外,系统100可包括一个或多个批间(run-to-run,R2R)控制器。例如,处理系统100可包括东京电子有限公司的TELIUSTM和一个或多个控制器(例如组级控制器——即东京电子有限公司的INGENIOTM控制器、工具级控制器——即东京电子有限公司的INGENIOTM控制器和测量分析控制器——即TEL子公司Timbre技术有限公司的轮廓(Profile)TM应用服务器(PAS))。此外,IMM140可以是Timbre技术有限公司的iODP系统。Timbre技术有限公司是加利福利亚的公司,由TEL全资拥有。
可选地,控制器120可支持其它处理工具和其它处理模块。
GUI部件(未示出)可提供易于使用的界面,使得用户可以:查看工具状态和处理模块状态;形成和编辑选定晶片的汇总和原始(示踪)参数数据的x-y曲线图;查看工具报警日志;配置用于指定将数据写入数据库或输出文件的情形的数据采集规划;将文件输入到统计处理控制(SPC)制图、建模和表格处理程序;检查用于指定晶片的晶片处理信息并浏览目前正被保存到数据库中的文件;形成和编辑处理参数的SPC图形,并设定产生电子邮件报警的SPC报警;运行多元PCA和/或PLS模型;以及查看诊断屏幕以检修故障并向TL控制器120汇报问题。正如本领域技术人员所明白的那样,GUI部件不需要提供所有功能的界面。相反,GUI可提供用于这些功能或这里未列出的其它功能的任意子集的界面。
控制器120可包括存储器(未示出),该控制器可包括一个或多个数据库。来自于工具的数据可作为文件存储在数据库中。此外,IM数据和主机测量数据可存储在数据库中。数据量取决于所配置的数据采集规划和处理被执行以及处理工具运行的频率。从处理工具、处理室、传感器以及操作系统获得的数据可存储在数据库中。
在可选实施例中,系统100可包括客户工作站(未示出)。系统100可支持多个客户工作站。客户工作站可允许使用者执行配置操作;查看包括工具、控制器、处理和工厂状态的状态;查看当前和历史数据;执行建模和绘图功能;以及将数据输入到控制器。例如,可向用户提供管理权,以允许其控制由系统部件执行的一个或多个处理。
处理工具110和控制器120可连接到MES130并可以是差错检测和分类(FDC)系统的一部分。处理器110和/或控制器120可与工厂系统交换信息。此外,MES130可向处理器110和/或控制器120发送命令和/或覆盖信息。例如,MES130可将用于任意数目的处理模块、工具和测量设备的可下载的配方以及每个配方的可变参数前馈到处理工具110和/或控制器120。可变参数可包括需要成批次可调节的工具级系统中的最终CD目标、极限值、偏移和变量。此外,计量数据可从工厂系统或光刻工具前馈到控制器120,所述光刻工具例如东京电子有线公司的李修斯(Lithius)工具。
而且,MES130可用于将测量数据(例如CD SEM信息)提供给控制器120。可选地,CD SEM信息可手工地提供。调节系数用于调节IM和CD SEM测量值之间的任何偏差。测量和/或历史数据可包括晶片识别信息和时间戳(例如日期),用于正确地插入到数据库中。
在图1中还示出了单个处理工具110,但这并不是必需的。可选地,可使用另外的处理工具。在一个实施例中,处理工具110可包括一个或多个处理模块。处理工具110可包括蚀刻模块、沉积模块、测量模块、抛光模块、涂覆模块、显影模块和热处理模块中的至少一个。
处理工具110可包括用于连接到至少另一个处理工具和/或控制器的链路112。例如,其它处理工具和/或控制器可与在此处理之前已经执行的处理相关联,以及/或者其它控制器可与在此处理之后执行的处理相关联。链路112可用于前馈和/或反馈信息。例如,前馈信息可包括与即将来的晶片相关联的数据。该数据包括批次数据、批量数据、运行数据、复合数据和晶片历史数据。
IMM140可包括光学数字分布测量(ODP)系统。处理工具110还可包括与模块相关的测量设备、与工具相关的测量设备和外部测量设备。例如可从连接到一个或多个处理模块的传感器和连接到处理工具的传感器获得数据。传感器可包括光学发射光谱(OES)传感器和光学断点检测传感器。例如,这些传感器的波长范围可从200nm到900nm。此外,可从例如扫描电子显微镜(SEM)工具、透射电子显微镜(TEM)工具和光学数字分布测量(ODP)工具的外部设备获得数据。
ODP工具可从Timbre技术有限公司(TEL的子公司)得到,其提供用于测量半导体设备中的结构的轮廓的技术。例如,ODP技术可用于获得临界尺寸(CD)信息、结构轮廓信息或过孔轮廓信息。
控制器120连接到处理工具110和MES130,并且例如预处理数据和后处理数据的信息可在其之间交换。例如,当由工具产生了内部错误事件,控制器120可向MES130发送消息,该消息包含与该事件相关的信息。这可允许工厂系统和/或工厂个人做出必需的改变,以在主要改变做出后,最小化有危险的晶片的数目,所述主要改变例如在保护性或预防性维护期间的改变。
在图1中还示出了单个控制器120,但这对于本发明并不是必需的。可选地,可使用另外的控制器。例如,控制器120可包括批间(R2R)控制器、前馈(FF)控制器、处理模块控制器、反馈(FB)控制器和处理控制器中的至少一个(在图1中均未示出)。
控制器120可包括用于连接到至少一个其它控制器的链路122。例如,其它控制器可与在此处理之前已经执行的处理相关联,以及/或者其它控制器可与在此处理之后执行的处理相关联。链路122可用于前馈和/或反馈信息。
在一种情形下,控制器120知道晶片输入状态和期望状态的模型方程,并且控制器确定可在晶片上执行的配方组,从而将晶片从输入状态改变到处理过的状态。在另一种情形下,控制器120确定晶片输入状态和期望状态,并且控制器120确定可在晶片上执行的配方组,从而将晶片从输入状态改变到期望状态。例如,所述配方组可描述与处理模块组相关的多步骤处理。
控制器120的一个时间常数可基于测量之间的时间。当在一批完成之后得到测得的数据时,控制器的时间常数可基于批次之间的时间。当在一个晶片完成后得到测得的数据时,控制器的时间常数可基于晶片之间的时间。当处理期间实时提供测得的数据时,控制器的时间常数可基于一个晶片的处理步骤。当在晶片正在处理时或晶片完成后或者一批完成后得到测得的数据时,控制器120可具有多个时间常数,所述时间常数可基于处理步骤之间、晶片之间和/或批次之间的时间。
一个或多个控制器120可在任一时间点处运行。例如,一个控制器可处于运行模式,而第二控制器可处于监视模式。此外,其它控制器可在仿真模式运行。控制器可包括单循环或多循环,并且所述循环可具有不同的时间常数。例如,所述循环可基于晶片计时、批次计时、批量计时、室计时、工具计时和/或工厂计时。
控制器120可基于输入状态、处理参数和处理模型来计算晶片的预定状态。例如,修剪速率(trim rate)模型可与处理时间一起使用从而计算预定的修剪量。可选地,蚀刻速率模型可与处理时间一起使用来计算蚀刻深度,沉积速率模型可与处理时间一起使用来计算沉积厚度。此外,模型可包括SPC曲线图、PLS模型、PCA模型、FDC模型和多变量分析(MVA)模型。
控制器120可接收和使用外部提供的用于处理模块中的处理参数极限值的数据。例如,控制器GUI部件提供一种用于手工输入处理参数极限值的装置。此外,工厂级控制器可提供用于每个处理模块的处理参数的极限值。此外,工厂级控制器可提供用于每个处理模块的处理参数的极限值。
控制器120可接收并执行商业建模软件产生的模型。例如,控制器可接收并执行由外部应用产生且发送到该控制器的模型。
在一个实施例中,控制器120可用于运行FDC应用并可发送和/或接收与报警/故障情况有关的信息。例如,控制器可在工厂级控制器或工具级控制器之间来回发送和接收FDC信息。此外,在识别到差错情况后,FDC信息可通过电子诊断网络、电子邮件或寻呼机发送。在可选实施例中,FDC应用可在不同控制器上运行。
控制器120可根据报警/故障的本质采取响应于报警/故障的各种动作。所采取的与报警/故障有关的动作可基于业务规则,所述业务规则由系统配方、处理配方、模块类型、模块识别号、装载端口号、盒号、批次号、控制任务ID、处理任务ID、插槽号和/或计量数据类型规定的情形所产生。在一个实施例中,控制器确定要采取的动作。可选地,FDC系统可指示控制器采取某些指定动作。
控制器120可包括数据库部件,用于存档输入和输出数据。例如,控制器可将所接收的输入、所发送的输出和控制器所采取的动作等存档在可搜索的数据库中。此外,控制器120可包括硬件和/或软件用于数据备份和恢复。此外,可搜索数据库可包括模块信息、配置信息和历史信息,并且控制器120可使用数据库部件来备份和恢复历史和当前的模型信息和模型配置信息。而且,可搜索数据库可包括损伤评估信息(例如晶片数据和/或处理数据)、配置信息和历史信息,并且控制器可使用数据库部件来备份和恢复历史和当前的损伤评估信息和晶片信息。
控制器120可包括基于web的用户界面。例如,控制器120可包括web使能的GUI部件,用于查看数据库中的数据。控制器可包括安全部件,所述安全部件可根据安全管理者同意的许可提供多级访问。控制器120还可包括默认模型组,所述默认模型组在安装时提供并具有重置默认情况的能力。
控制器具有管理多个处理模型的能力,这些处理模型同时执行并具有不同的处理配方限制条件组。控制器可在三种不同模式下运行:仿真模式、测试模式和标准模式。控制器可在与实际处理模式并发的仿真模式下运行。此外,FDC应用可实时运行并产生实时的损伤评估结果。而且,FDC应用可在仿真模式下运行并产生预定的损伤评估结果。
当处理系统包括主机系统和一个多个半导体处理系统时,主机系统可作为主系统运行,并且可控制和/或监视处理过程的主要部分。主机系统可产生处理序列,并可将处理序列发送到处理系统。在一个实施例中,处理序列可包括测量模块访问和处理模块访问的序列。可为每个测量模块访问和每个处理模块访问产生处理任务(PJ)。
此外,在处理系统控制器在仿真模式执行时,可进行虚拟测量和/或损伤评估。执行仿真模式得到的结果可存储并用于预测损伤评估和/或潜在故障情形。
在图1中还示出了单个处理工具110,但仅包括一个处理工具110的设置并不是必需的。可选地,可使用另外的处理工具。在一个实施例中,处理工具110可包括用于执行如前所述的修剪操作的装置。可选地,处理工具110可包括蚀刻模块、沉积模块、抛光模块、涂覆模块、显影模块、灰化模块、氧化模块和热处理模块等的至少一个。
图2示出根据本发明实施例的晶片处理框图的简单示意图。在所示出的实施例中,示出输入元件201,其可以是包括多个晶片的FOUP。示出晶片状态元件202,在晶片处理期间可将晶片状态信息前馈到测量元件218并由其使用。示出控制元件205,其连接到光刻元件210中的两个处理元件212,并连接到能够用于管理前馈和反馈数据的另一控制元件203。例如,光刻元件可以是东京电子有限公司的李修斯系统,处理元件212可以是涂覆单元。
扫描元件220可以连接到光刻元件210。扫描元件可包括两个连接到曝光单元224的校准元件222。
此外,光刻元件210还可包括两个可连接到两个显影单元216的烘干单元214。当光刻系统包括计量单元217时,显影单元216可连接到计量模块217。计量单元217可连接到控制器240并可与控制器240交换信息。在一个或多个晶片处理过程(例如双大马士革过程)期间,控制器可使用来自于计量单元218的计量数据。在所示实施例中,示出多个决定(“OR”)元件以表示在处理期间晶片可采取的不同路径。控制器240可将数据241反馈到光刻单元210,可与扫描器单元220交换数据243,和/或与蚀刻系统250交换数据242。
在图2中,示出光刻系统210连接到蚀刻系统250。蚀刻系统250可包括第二晶片元件230,并且可将其中一些晶片状态信息提供到控制器260,该控制器260可与蚀刻系统250交换信息245,和/或与光刻系统210交换信息244。该晶片状态信息可包括其它测量数据。例如,在晶片处理期间,一些晶片可被传送到外部计量单元,该外部计量单元可以是外部光学计量工具或CD SEM工具。
第二晶片状态元件230可连接到蚀刻系统250。蚀刻系统250可包括多个预处理计量元件252、多个蚀刻处理元件254和多个后处理计量元件256。计量元件252和256可连接到控制器260并与控制器260交换信息。在一个或多个双大马士革过程期间,控制器260可使用来自于计量元件252和256的计量数据。在所示实施例中,示出多个决定(“OR”)元件以表示在处理期间晶片可采取的不同路径。可选地,可使用不同数目的计量元件和/或处理元件。控制器260可将数据241反馈到蚀刻单元210,可将数据243反馈到扫描器单元220,和/或将数据242前馈到蚀刻系统250。
蚀刻系统250可连接到清洁系统270。清洁系统270可包括湿式和/或干式处理。清洁系统270可连接到测量元件280。测量元件可包括ODP系统、CD SEM系统、TEM系统和/或FIB系统(均未示出)。
还示出重复元件290以表示当多个晶片需要处理时,晶片处理过程可执行多次。此外,可使用不同的步骤组。当如图2所示地连接并且控制处理系统和测量系统时,可最小化晶片生产时间,并且可减小计量模块/处理的数目。
处理系统100可用于处理具有隔离和巢状大马士革特征的晶片并且控制策略可用于定义处理序列。在隔离/巢状测量序列期间,处理工具选择一个IM配方来使用,并且单独的IMM配方可用于隔离的和巢状结构。对于每个节距(pitch)和结构,可单独地测量每个晶片。
例如,晶片可装载到集成计量(IM)模块中;IM配方可装载到IM模块中;并且轮廓应用服务器(PAS)配方可装载到IM控制器中。接下来,可测量晶片并且ODP配方可装载到IM控制器中。然后,可使用测得的频谱来搜索库并且可标识其中一个或多个隔离结构。当隔离结构正在被测量和/或正在被检查损伤时,可使用IM、PAS、ODP和损伤评估配方。
随后,另一IM配方可装载到集成计量(IM)模块中,另一PAS配方可装载到IM控制器中。可测量晶片或者可使用前一测量数据,并且可另一ODP配方可装载到IM控制器中。接着,可使用测得的频谱来搜索库,并且可标识一个或多个巢状结构。当巢状结构正在被测量和/或正在被检查损伤时,可使用IM、PAS、ODP和损伤评估配方。测量序列可在晶片上的一个或多个不同位置处执行,并且可卸下晶片。
在一个实施例中,提供具有与用于特定产品和工艺的隔离结构/特征相一致的第一节距的测量光栅/结构,提供具有与用于该产品和工艺的巢状结构/特征相一致的第二节距的另一测量光栅/结构。例如,612nm光栅可用于隔离结构,245nm光栅可用于巢状结构。在可选实施例中,可提供其它测量光栅并可提供不同节距。
正如本领域技术人员应该理解的那样,处理系统100的所示部件仅仅是本发明的系统的示例。正如本领域技术人员应该理解的那样,并且如同从下面的讨论所理解的那样,本发明的部件的置换和组合是重要的。未在此讨论的所有这些变形应该落入本发明的保护范围。
处理系统100可提供IMM晶片采样,并且晶片插槽选择可通过(PJ形成)功能所确定。R2R控制构造可包括前馈控制规划变量、反馈控制规划变量、计量校准参数、控制极限值和SEMI标准可变参数等。计量数据报告可包括晶片、站点、结构和复合数据等,并且工具可报告该晶片的实际设定。
IMM140可使用极化反射计、椭圆偏振光谱仪、反射计或其它光学仪器来测量真实设备轮廓、精确的临界尺寸(CD)和晶片的多层薄膜厚度。处理是在线进行的,这消除了需要打碎晶片进行分析的需要。ODP可与现有的用于在线轮廓和CD测量的薄膜计量工具一起使用,并且可与TEL处理工具集成以提供实时处理监视和控制。ODP剖面测量仪可用作高精度测量工具以提供实际轮廓、CD和薄膜厚度结果,并可用作提高产量工具以检测在线处理偏移或处理故障。
ODPTM解决方案具有三个关键部件:ODPTM ProfilerTM库包括光谱的应用指定数据库及其相应的半导体轮廓、CD和薄膜厚度。ProfilerTM应用服务器(PAS)包括计算机服务器,该计算机服务器连接到光学器件以及计算机网络。其处理数据通信、ODP库操作、测量处理、结果产生、结果分析和结果输出。ODPTM ProfilerTM软件包括安装在PAS上的软件,以管理测量配方、ODPTM ProfilerTM库、ODPTM ProfilerTM数据、ODPTMProfilerTM结果搜索/匹配、ODPTM ProfilerTM结果计算/分析以及与多个计量工具和计算机网络的数据通信和PAS接口。
Jakatdar等人于2000年12月28日提交的名为“SYSTEM ANDMETHOD FOR REALTIME LIBRARY GENERATION OF GRATINGPROFILES”的共同未决美国专利申请No.09/727,530中描述了一种示例性的光学计量系统,在此通过引用而引入其全文。
ODP技术可用于测量带图案的晶片的特征内的涂层和/或残余物的存在和/或厚度。在Niu等人于2003年2月3日提交的名为“MODELOPTIMIZATION FOR STRUCTURES WITH ADDITIONAL MATERIALS”的共同未决美国专利申请No.10/357,705中描述了这些技术,在2001年12月4日提交的名为“OPTICAL PROFILOMETRY OF ADDITIONAL-MATERIAL DEVIATIONS IN A PERIODIC GRATING”的共同未决美国专利申请No.6,608,690以及2003年5月5日提交的名为“OPTICALPROFILOMETRY OF ADDITIONAL-MATERIAL DEVIATIONS IN APERIODIC GRATING”的共同未决美国专利申请No.6,839,145中描述了包括测量其它材料的ODP技术,所有这些申请在此通过引用而引入。
在Voung等人于2002年7月25日提交的名为“MODEL ANDPARAMETER SELECTION IN OPTICAL METROLOGY”的共同未决美国专利申请No.10/206,491中描述了用于形成计量模型的ODP技术,在2001年8月6日提交的名为“METHOD AND SYSTEM OF DYNAMICLEARNING THROUGH A REGRESSION-BASED LIBRARYGENERATION PROCESS”的共同未决美国专利申请No.10/206,491中描述了包括计量应用的ODP技术,所有这些申请在此通过引用而引入。
一种控制系统(例如东京电子有限公司的INGENIOTM系统)可包括一种管理应用(例如配方管理应用)。例如,配方管理应用可用于查看和/或控制存储在Ingenio系统数据库中的配方,该数据库通过网络环境与INGENIOTM系统的装备同步。INGENIOTM客户机可放置在离工厂一段距离,并可向多个装备单元提供全面的管理功能。
配方可组织成树状结构,其可包括配方组、类和可显示为目标的配方。配方可包括处理配方数据、系统配方数据和IMM配方数据。数据可通过使用配方组而存储并组织。处理工具110上的IMM配方可用于确定晶片采样以及插槽和IM配方之间关系。IM配方可存在于IMM140上,可在特里耶斯IMM配方中选择、可包括图案识别信息、可用于识别每个晶片上的芯片以采样并且可用于确定使用哪个PAS配方。PAS配方可用于确定使用哪个ODP库,并限定要汇报的测量标准,例如上CD、下CD、侧壁角度(SWA)、层厚、槽宽和吻合度(GOF)。
一种控制系统(例如INGENIOTM系统)可包括可作为控制策略运行的APC应用以及可与可包括在蚀刻工具配方中的控制规划相关联的控制策略。在运行时间的晶片级环境匹配允许由晶片自定义配置(插槽、晶片ID批次ID等)。控制策略可包括一个或多个控制规划,并且正被控制的处理模块和/或测量模块具有至少一个定义为用于访问处理模块和/或测量模块的控制规划。控制规划可包括损伤评估、模型、控制极限值、目标,并可包括静态配方、公式模型和反馈规划。
在控制系统中,前馈和/或反馈控制可通过构造控制策略、控制规划和控制模型类实现。控制策略可用于实现前馈和/或反馈控制的每个系统处理。当策略受保护时,所有其子目标(规划和模型)都不能编辑。当系统配方执行时,可执行控制策略中的一个或多个控制规划。每个控制规划可用于基于前馈和/或反馈信息来修改配方。
控制策略可用于建立处理配方和处理工具;确定控制规划;评估晶片损伤,形成与失效相应的动作;形成环境;建立控制类型(标准、仿真或测试);产生控制动作(使能/禁用);和形成控制状态(保护/未保护)。
控制策略可包括标准控制策略和仿真控制策略。标准控制策略可配置为控制处理工具110。仿真控制策略可与仿真控制规划相关联。基于所选定的模型,控制规划将调整配方变量。配方变量可由控制器记录,但不传送到处理工具。多个仿真控制策略可同时执行,但一个给定的晶片只执行一种标准类型的控制规划。
而且,控制策略可包括其它可能操纵的领域。例如,批次ID领域可用于进入/编辑批次标识符;CJID领域可用于进入/编辑控制任务标识符。PJID领域可用于进入/编辑处理任务标识符。盒ID领域可用于进入/编辑盒标识符。插槽ID领域可用于进入/编辑插槽标识符。晶片类型ID领域可用于进入/编辑晶片类型。划线晶片ID领域可用于进入/编辑划线晶片标识符。晶片ID领域可用于进入/编辑晶片标识符。早于领域的开始时间可用于进入/编辑开始时间。此外,晚于领域的结束时间可用于进入/编辑结束时间。
控制规划可包括模块间的多个处理步骤,并可由工厂控制。参数范围可限定为用于每个处理和/或测量模块,并且为每个控制参数提供可变参数“极限值范围”。
控制系统可包括可用于分析所采集的数据并建立差错环境的APC应用。分析应用可在环境匹配时执行。在执行分析应用期间,可执行一个或多个分析规划。例如,可执行单变量SPC模型/规划并可触发SPC报警;可执行PCA和/或PLS模型/规划并可触发SPC报警;可执行多变量SPC模型/规划并可触发SPC报警;并且可执行其它文件输出规划并可触发软件报警。
当出现数据失效、出现执行问题或出现控制问题时,规划可产生一个差错。当出现差错时,规划可产生报警消息;父策略状态可改变为失效状态;规划状态可改变为失效状态;并且一个或多个消息可被传送到报警日志和FDC系统。当前馈规划或反馈规划失效时,可终止父策略中的一个或多个规划,并且其状态可改变为失效状态。在一种情形下,当检测到坏的进入晶片时,控制规划可检测和/或将其标明为有故障的进入晶片。此外,当反馈规划能使用时,反馈规划可跳过跳过已经由另一规划标识为有缺陷的和/或有故障的晶片。数据采集规划可拒绝改晶片在所有测量站点的数据或者由于损伤评估操作未能满足允许的损伤极限值而拒绝所述数据。
在一个实施例中,反馈规划失效可能并不终止策略或其它规划,并且损伤评估操作失效也不可能终止策略或其它规划。成功的规划、策略和/或损伤评估操作不产生任何差错/报警消息。
处理系统100可包括FDC系统,该FDC系统包括用于管理差错/报警/故障情形的应用。当检测到差错、报警和/或故障情形时,FDC系统中的FDC应用可将消息发送到一个或多个处理模块和/或工具。例如,当达到或超过损伤评估极限值时,可发送消息以使当前处理暂停或停止当前处理。在一种情况下,工具暂停/停止可通过改变维护计数器的值。
用于策略和/或规划差错的预指定的失效动作可存储在数据库中,并且当差错出现时,可从数据库找回。失效动作可包括使用该晶片和模块的正常处理配方;使用该晶片和模块的空(null)处理配方;暂停该处理模块并等待介入;暂停整个工具并等待介入。例如,处理工具可仅在带有差错的晶片到达出现R2R失效处的目标处理模块时采取动作,并且处理工具可继续处理其它模块中的其它批次、配方或晶片。空配方可以是控制配方,该控制配方由处理工具和/或处理系统使用以允许晶片经过和/或保留在处理室中而不处理。例如,在处理工具暂停或晶片不需要处理时,可使用空配方。
FDC系统可检测故障、预测工具性能、预测预防性的维护进度。降低维护停工期并延长处理工具中可销售部件的使用寿命。FDC系统从工具和其它传感器中采集数据、计算总和参数、执行MVA并由正常操作使用SPC计算结果。例如,SPC部件可执行一系列西部电子(WesternElectric)运行规则评价,并且如果运行规则被破坏将产生SPC报警。
APC系统和FDC系统的操作可由客户配置并且可基于正在被处理的晶片的情况来配置。情况信息包括配方、批次、差错、控制任务和处理任务。APC系统和FDC系统的用户界面是web使能的,并提供几乎实时的工具状态和实时的报警状态显示。
控制器120在不同处理状态中可使用基于方程的技术、基于公式的技术和基于表格的技术。当控制器120使用这些技术时,前馈和/或反馈控制变量是可以配置的。
控制器120可作为单输入单输出(SISO)设备、单输入多输出(SIMO)设备、多输入单输出(MISO)和/或多输入多输出(MIMO)等形式运行。此外,输入和输出可在一个控制器120内和/或一个或多个控制器120之间进行。在包括多模块的多处理情形下,损伤评估信息可从一个控制器前馈或反馈到另一控制器。
当处理工具和/或处理模块发送数据到数据库时,该数据可由控制器120存取。例如,该数据可包括工具轨迹数据、维护数据和端点检测(EPD)数据。与工具相关的数据可用于形成和/或更新损伤评估过程和/或处理过程,并且在处理期间或晶片处理完成后可存储更新过的信息。
控制器120可接收并使用外部提供的数据以对处理模块中的参数极限值进行处理。例如,控制器GUI部件提供一种用于手工输入处理参数极限值的方法。而且,工厂级控制器可提供每个处理模块的处理参数的极限值。
控制器120可接收和执行商业建模软件产生的模型。例如,控制器120可接收并执行外部应用产生并发送到控制器120的模块(PLA、PCA)等。
损伤评估过程和/或损伤评估模块更新能够通过运行测试晶片、改变处理设定并观察结果然后更新损伤评估过程和/或损伤评估模块来进行。例如,通过测量测试晶片的特性之前和之后,能够每N个处理小时发生更新。通过改变检查不同运行区域的时间设定,人们可使整个运行空间长时间有效,或者立即以不同配方设定运行几个监视器晶片。更新过程可发生在工具或工厂的控制器120内,允许工厂控制管理测试晶片和模块更新。
控制器120可计算更新过的配方和/或更新过的损伤评估过程以用于下一晶片。在一种情况下,控制器120可使用前馈信息、建模信息和反馈信息以确定是否在运行当前晶片之前、运行下一晶片之前或运行下一批次之前改变当前配方。
当计量数据源正在用于提供处理结果数据时,可指定路径序列,这使得晶片被导向到该处理中位于正确地点的IMM140。例如,在进入处理模块115和/或晶片已经在处理模块115中处理后,晶片可导向到IMM140。此外,可指定IM配方,这使得进行预定的测量组并且提供预定的输出数据组。例如,在数据平均并由控制器120使用之前过滤该数据。
控制器120可包括一个或多个滤波器(未示出)以过滤计量数据从而去除随机噪声。噪声滤波器可用于去除随机噪声并稳定控制循环。可使用指数权重移动平均(EWMA)或卡尔曼滤波器。此外,外层滤波器可用于去除统计上无效并且在晶片测量值的平均值计算中不应该考虑的外层值。而且,控制可包括基于测量分析计算和/或损伤评估过程的报警来过滤站点的能力。例如,测量站点可基于计量系统的报警而过滤,并且这可能出现在站点测量计算存在差错、或者站点位于库空间外、或者站点在库空间边缘时。
控制器120可接收和使用反馈数据。例如,控制器120可接收已经处理过的晶片的损伤评估信息并基于该数据调整处理模块。
控制器120可发送并接收差错状态的通知。例如,控制器120可与工厂级控制器、R2R控制器和/或工具级控制器等设备发送并接收通知。此外,在识别到差错状态后,可通过电子诊断网络、电子邮件或寻呼机发送通知。
控制器120可以以仿真模式计算和/或运行损伤评估过程和/或模式。例如,控制器120可在与实际处理模式并发的仿真模式运行。在此情况下,仿真模式可记录在历史数据库中,而不立即采取动作。
控制器120可基于进入的材料情况选择损伤评估过程和/或模型。例如,控制器120可基于进入的材料状态和处理配方选择损伤评估过程和/或模型。控制器可包括用于验证系统100能够计算有效R2R设定的方法。
控制器120输入可包括用于前馈/反馈循环、用于累积的重置事件、IMM步骤和ODP偏移等。指令可包括目标、误差、计算指令、数据采集规划、算法、模型、系数和配方等。晶片状态可包括例如正被处理的晶片的信息(站点、晶片、批次、批量状态)、轮廓和物理地或电气地测量的特性。模块物理状态可包括将用于处理晶片的模块和部件的当前或过去已知记录状态——RF小时数、晶片数、可消耗状态。处理状态可包括处理环境的传感器的当前或过去已知测量状态,包括轨迹数据和汇总统计。控制器参数可包括产生晶片状态、模块物理状态和处理状态的晶片/控制器设定点和处理目标的过去设定。
控制器120可包括至少一个支持R2R运行软件(例如Ingenio软件)的计算机和软件。在一种情况下,运行软件包括下列至少一个:配置装置、数据管理装置、GUI装置、故障管理装置和故障检修装置。此外,配置GUI屏幕可用于配置计算机和处理元件之间的接口,以确定用于该处理元件的设备类型(即工具、模块、传感器等)。数据管理GUI屏幕可用于确定待采集数据的量和类型,以确定如何存储采得的数据以及存储在哪儿。而且,故障管理GUI屏幕可用于通知用户故障状况。
一般地,前馈控制可包括通过使用在晶片到达模块之前在晶片上测得的预处理数据来更新处理模块和/或测量模块配方。在一种情况下,计量数据和处理目标数据由控制器120所接收。可比较这些值,并且结果是所需处理结果(例如,所需修剪量)。然后,所需处理结果可被送到控制器用于合适处理配方阐述的模型选择和计算。新配方被送到处理模块并且晶片通过使用新配方来处理(修剪)。
在系统100中,可在控制器120中通过配置控制策略、控制规划和控制模型而执行前馈控制。控制策略可被写入执行前馈控制的每个系统配方中。当该系统配方在处理工具110中执行时,可执行控制策略中的控制规划。每个控制规划可用于基于前馈信息修改配方。
控制规划可包括输入数据源。可使用不同数目的输入数据源,并且每个输入数据源可具有不同的标记值。例如,一个数据源可以是ODP工具,并且它可以是处理工具(例如Telius)的一部分。此外,另一数据源可以是SEM,并且参数/值可以是实际测得数据,例如CD-SEM数据。
通过使用这些数据源的输入,用户可制定用于目标计算的计算。该计算的结果然后用于选择要执行哪个控制模式。系统从额定配方(该配方存在于该工具上)启动。然后,对每个执行过的控制规划的更新求和。一旦执行了所有的控制规划(在匹配的控制策略内),将最终配方送到工具。
控制器120可作为配方参数解算器(solver)运行,所述配方参数解算器根据合适的处理模块、处理模块限制条件、处理目标和处理参数限制条件产生配方参数。控制器120具有管理多个处理模块的能力,所述多个处理模块同时执行并具有单个处理配方限制条件组。如果出现控制失效,控制器120可配置为使用工具处理配方(额定配方)、使用空配方或停止R2R控制(根据工具参数设定)。为了停止工具110,控制器120可配置为暂停处理模块,或者暂停整个系统100。
图3示出根据本发明实施例的光学计量系统的示意性视图。在所示实施例中,示出光学计量系统300可设置为检查周期结构(例如光栅和/或带图案的阵列)以获得测得的光谱数据320。例如,可获得零阶交叉极化测量数据,并且基于零阶交叉极化测量数据可获得晶片测量数据。
可通过使用形成于晶片上的周期测量结构获得设备特征和/或结构。例如,当设备/电路的特征和/或结构通过这里描述的一个或多个制造过程形成于晶片上时,在晶片上还形成周期测量结构的特征。
此外,一个或多个周期测量结构可在晶片上靠近或位于形成于晶片上的设备/电路内的测试区域内形成。例如,在形成于晶片上的设备/电路附近可形成周期测量结构。可选地,周期测量结构可形成于不会与设备/电路的运行干涉的设备/电路的区域中或沿着晶片上的分划线形成。从而,所获得的用于周期测量结构的光学测量值可用于确定周期测量结构附近的设备/电路是否根据规定制造。
此外,光学计量系统300可包括计量系统310,例如iODP系统。计量系统310可包括可用于通过使用ODP回归技术来执行图形分析的实时部件312和可用于产生iODP库330的离线部件314。例如,回归优化过程可在一组测量值上进行,以获得可与结构和/或特征的轮廓相关联的结果参数值。此外,计量系统310可包括用于将IMM(ODP)结果发送到其它系统部件的接口部件340、用于将IMM(ODP)结果显示到一个或多个GUI屏幕的显示部件342和用于存储IMM(ODP)结果的存储部件344。
光学计量设备的实例包括分光镜偏振光椭圆率测量仪、分光镜反射计、可变角、单波反射计和偏振光椭圆率测量仪、以及极化反射计和偏振光椭圆率测量仪。当光学计量系统300包括偏振光椭圆率测量仪时,可接收并检测衍射信号的振幅比tanΨ和相位Δ。当光学计量系统300包括反射计时,可接收并检测衍射信号的相对强度。此外,当光学计量系统300包括极化反射计时,可接收并检测衍射信号的相位信息。
光学计量系统300可接收测得的衍射信号并分析测得的衍射信号,并且可通过使用各种线性或非线性轮廓提取(例如基于库的方法、基于回归的方法等)确定周期测量结构。至于基于库的方法的更详细描述,见2001年7月16日提交的名为“GENERATION OF LIBRARY OF PERIODICGRATING DIFFRACTION SIGNALS”美国专利申请No.09/907,488,在此通过引用而引入其全文。至于基于回归的方法的更详细描述,见2001年8月6日提交的名为“METHOD AND SYSTEM OF DYNAMIC LEARNINGTHROUGH A REGRESSION-BASED LIBRARY GENERATIONPROCESS”的美国专利申请No.09/923,578,在此通过引用而引入其全文。至于机器学习系统的更详细描述,见2003年6月27日提交的名为“OPTICAL METROLOGY OF STRUCTURE FORMED ONSEMICONDUCTOR WAFERS USING MACHING LEARNING SYSTEMS”的美国专利申请No.10/608,300,在此通过引用而引入其全文。
此外,2004年9月8日提交的名为“OVERLAY MEASUREMENTSUSING ZERO-ORDER CROSS POLARIZARIZATION MEASUREMENTS”的美国专利No.6,947,141、2004年5月27日提交的名为“METHOD ANDSYSTEM FOR DYNAMIC LEARNING THROUGH A REGRESSION-BASED LIBRARY GENERATION PROCESS”的美国专利No.6,928,395以及2003年5月5日提交的名为“OPTICAL PROFILOMETRY OFADDITIONAL-MATERIAL DEVIATIONS IN A PERIODIC GRATING”的美国专利No.6,839,145中描述了光学测量系统和技术,上述专利已转让给TEL的子公司Timbre技术有限公司,在此通过引用而引入其全文。
继续参照图3,光学计量系统300可用于检查并分析受损和/或未受损测量结构。例如光学计量系统300可用于确定晶片上形成的测量结构(例如周期光栅和/或阵列)的轮廓。测量结构可在晶片上的测试区域中形成,例如与晶片上形成的设备相邻。可选地,测量结构可形成于不会干涉设备的操作的设备的区域中或沿着晶片上的分划线形成。
计量系统310可包括一个或多个辐射源(未示出)和一个或多个辐射检测器(未示出)。例如受损和/或未受损测量结构可由入射光束照亮,并且可接收到一个或多个衍射光束并将其转换成测得的衍射信号(测得的光谱数据)。
计量系统310可通过使用基于库的方法或基于回归的方法分析测得的衍射信号并确定受损和/或未受损测量结构的轮廓。此外,也可使用其它线性或非线性轮廓提取技术。
在一些实施例中,基于库的方法可用于确定受损和/或未受损测量结构的轮廓。在基于库的方法中,可将测得的衍射信号与模拟衍射信号库相比较。库中的模拟衍射信号可与受损或未受损测量结构的假想轮廓相关联。当测得的衍射信号和库中其中一个模拟衍射信号相匹配或当测得的衍射信号和其中一个模拟衍射信号的差值位于预定值或匹配判据内时,假定与匹配的模拟衍射信号相关联的假想轮廓代表受损或未受损测量结构的实际轮廓。然后,匹配的模拟衍射信号和/或假想轮廓可用于确定该结构是否根据规定制造。此外,然后匹配的模拟衍射信号和/或假想轮廓可用于确定处理期间该结构是否受损。
可通过使用参数组定义假想轮廓、然后改变该参数组以产生具有改变形状和尺寸的假想轮廓而产生储存在库330中的假想轮廓组。通过使用参数组而定义轮廓的方法可被称为参数化。
在其它实施例中,测量数据可从光学计量工具获得并可包括极化数据。极化数据可转换成P-域数据,P-域数据可用于某些受损评估过程中,例如P-域信号,可用于标识指定类型和损伤量。
图4A示出根据本发明实施例的示例性轮廓。在图4A示出的示例性实施例中,示出了包括示例性假想特征轮廓410的示例性周期结构400,该示例性假想特征轮廓410包括多个特征参数,例如高度420、底部宽度422、顶部宽度424和侧壁角426。例如假想轮廓的宽度可被称为临界尺寸(CD),顶部和/或底部CD可用于描述假想轮廓。
假想轮廓410可包括未受损部分412、第一受损部分414和第二受损部分416,三个受损部分可具有与其相关联的不同特性。可选地,第一受损部分414和第二受损部分416可具有非均匀和/或非连续的形状。例如,未受损部分412可以是低k和/或超低k材料,第一受损部分414和/或第二受损部分416可以是受损的低k和/或超低k材料,并且这三个部分的电介质特性可以不同。此外,第一受损部分414和第二受损部分416可包括沟槽中的受损表面、受损边缘和/或受损角等。可选地,可通过使用不同形状和/或不同数目的参数来定义假想轮廓和受损部分的其它形状和特征。此外,受损部分的形状可以与所示形状不同。
周期结构400还包括其上形成有附加层454的衬底450。衬底450可包括半导体层、电介质层和/或金属层,该半导体层包括例如硅、锗或其组合的材料。附加层454可包括停止层(stop layer)材料。可选地,附加层可包括几个层或者这并不是必需的。此外,附加层可包括受损部分(未示出)。
通过使定义假想轮廓的参数改变可产生存储在库330中的假想轮廓组(图3)。例如参照图4A,通过改变与周期结构相关联的参数,可产生具有不同形状和尺寸的假想轮廓。注意,一次可改变这些参数中的一个或多个。
图4B示出根据本发明的将图4A的周期结构的假想轮廓分成多个扩展层以允许衍射光栅的数值分析的示例。在图4B所示的坐标系统411中,周期方向为x方向,横向为z方向,y方向是与x方向和z方向正交的垂直于纸面的基本上无限延伸的方向。
周期结构400包括其上形成有附加层454的衬底450。特征430(例如沟槽和/或过孔)可以以周期和/或非周期的方式蚀刻在衬底450的电介质层415上。可选地,特征430可以蚀刻在衬底450的附加层454上。示出了受损的电介质部分414,并且其可将顶部、底部和/或侧壁损伤表示为特征430。未受损电介质部分412示出为紧挨着受损电介质部分414。从而,半导体设备沿着周期方向可具有三种材料:空气、受损电介质层和未受损电介质层。
图4B示出了根据本发明的与示例性受损周期结构400(即通过使用轮廓变量或参数定义的假想轮廓)的尺寸的数值描述相关联的变量。图4B的示例性假想轮廓每层具有一种或多种材料:在层425.0中示出一种材料,并且该材料为气体;在层425.1中示出两种材料,可包括受损材料和气体;在层425.2—425.5中示出三种材料,包括气体、受损材料和未受损材料;在层425.6中示出一种材料,该材料可以是停止层材料;并且在层425.7中示出一种材料,该材料可以是衬底材料。可选地,未受损材料可以是425.1中示出的受损材料。此外,如果表面中不均匀地出现损伤,则可以在一层中出现不同数目的材料。受损电介质部分可以被认为是离散化之前的附加材料偏离。此外,气体片(slab)(a)可以被认为是离散轮廓的附加材料偏离。可选地,当分析其它形状和/或其它受损部分时,可使用其它技术。
再参照图3,存储在库330中的假想轮廓及模拟衍射信号组中的假想轮廓的数目和相应的模拟衍射信号(即库330中的解析度和/或范围)部分地依赖于参数组的范围和参数组变化的增量。在一个示例性实施例中,在从实际结构获得测得衍射信号之前产生存储在库330中的假想轮廓和模拟衍射信号。从而,在产生库330中使用的范围和增量(即范围和解析度)可基于结构制造过程的熟悉程度以及损伤范围可能如何而选择。库330的范围和/或解析度还可基于经验测量值来选择,例如使用AFM、X-SEM、CD-SEM等。
至于基于库的方法的更详细描述,见2001年7月16日提交的名为“GFNFR ATION OF A LIBRARY OF PERIODIC GRATINGDIFFRACTION SIGNALS”的美国专利申请No.09/907,488,在此通过引用而引入其全文。
在另一实施例中,基于回归的方法可用于确定受损和/或未受损测量结构的轮廓。在基于回归的方法中,测得的衍射信号与模拟衍射信号(即试验衍射信号)相比较。模拟衍射信号在比较之前通过使用用于假想轮廓(即假想轮廓)的参数组(即试验衍射信号)而产生。如果测得的衍射信号和模拟衍射信号不匹配或当测得的衍射信号与其中一个模拟衍射信号的差值不位于预定或匹配判据内,使用用于另一假想轮廓的另一参数组产生另一模拟衍射信号,然后,测得的衍射信号和新产生的模拟衍射信号相比较。当测得的衍射信号和模拟衍射信号匹配或当测得的衍射信号与其中一个模拟衍射信号的差值位于预定或匹配判据内时,假定与匹配的模拟衍射信号相关林的假定轮廓代表受损或未受损测量结构的实际轮廓。那么,匹配的模拟衍射信号和/或假想轮廓可用于确定该结构是否根据指定制造。此外,匹配的模拟衍射信号和/或假想轮廓可接着用于确定在制造期间该结构是否受损。
因此,再参照图3,在一个示例性实施例中,计量系统310可产生用于假想轮廓的模拟衍射信号,然后将测得的衍射信号与模拟衍射信号相比较。此外,模拟衍射信号可通过使用包括模拟退火的优化算法(例如全局优化技术)和包括最速下降算法的优化技术而产生。
在一个示例性实施例中,模拟衍射信号和假想轮廓可存储在库330(即动态库)中。存储在库330中的模拟衍射信号和假想轮廓可接着随后用于匹配测得的衍射信号。
至于基于回归的方法的更详细描述,见2001年8月6日提交的名为“METHOD AND SYSTEM OF DYNAMIC LEARNING THROUGH AREGRESSION-BASED LIBRARY GENERATION PROCESS”的美国专利申请No.09/923,578,在此通过引用而引入其全文。
如上所述,产生的模拟衍射信号可与测得的衍射信号相比较。在一个示例性实施例中,模拟衍射信号可通过应用麦克斯韦尔方程并通过使用数值分析技术(例如严格耦联波分析RCWA)对麦克斯韦尔方程求解而产生。至于基于回归的方法的更详细描述,见2001年1月25日提交的名为“CACHING OF INTRA-LAYER CALCULATIONS FOR RAPIDRIGOROUS COUPLED-WAVE ANALYSES”的美国专利申请No.09/770,997,在此通过引用而引入其全文。
如上所述,光学计量可用于确定半导体晶片上形成的受损和/或未受损结构的轮廓。更具体地,该结构的各种确定性参数(例如高度、宽度、临界尺寸、线宽等)可通过使用光学计量而确定。从而,通过使用光学计量确定的结构的轮廓是该结构的确定性轮廓。然而,该结构可可能由多种随机效应形成,例如受损表面、受损边缘和/或受损角等。
在多个示例性实施例中,为了更精确地确定该结构的整个轮廓并评估该结构中电介质材料的损伤,也可使用光学计量来测量这些随机效应中的一个或多个。应该认识到,术语表面损伤、边缘损伤和/或角损伤可用于与除了线以外的受损结构的特性有关。在一个实例中,当评估电介质层的损伤时,可将多尺寸结构的可测量的损伤(例如沟槽、过孔和/或孔)描述、测量和建模为表面损伤,并且受损表面可包括顶面、底面和侧面。从而,在下面的说明中,术语“角损伤”、“边缘损伤”和“表面损伤”也可在广义的含义下使用。
低k损伤可以是因为离子轰击,并且具有最大轰击量的表面将具有最大的损伤。离子轰击可以是因为直接曝光或间接(背向散射)曝光。其它损伤可以是由于处理副产品而出现。
图5A和5B示出了根据本发明示例性实施例的其它双大马士革结构。示出的双大马士革结构500具有过孔部分510和沟槽部分420。如图5A和5B所示,双大马士革结构500可包括一个或多个区域(512、514、522和524),其可表示除未受损材料540外的受损材料。此外,双大马士革结构500的形状可包括形成于半导体晶片上的结构类型,例如周期光栅、线、过孔、孔、多层结构等。此外,未受损材料可包括下列材料中的一种或多种:TEOS、OSG和Coral。
双大马士革结构500还包括衬底505,并且衬底505可包括半导体层、电介质层和/或金属层,该半导体层包括例如人造硅、锗或其组合形式。可选地,在衬底上可示出附加层。
通过使定义假想轮廓的参数改变可产生存储在库330中的假想轮廓组(图3)。例如参照图5A、5B,通过改变与周期结构、未受损区域和受损区域相关联的参数可产生具有改变形状和尺寸的假想轮廓。注意,一次可改变这些参数中的一个或多个。
图5B示出根据本发明实施例的将图5A的周期结构分成多个扩展层以允许衍射光栅的数值分析的示例。在图5B所示的坐标系统511中,周期方向为x方向,横向为z方向,y方向是与x方向和z方向正交的垂直于纸面的基本上无限延伸的方向。
双大马士革结构500包括其中形成有一层或多层(未示出)的衬底505。例如,沟槽520和/或过孔510的特征可以以周期和/或非周期的方式蚀刻在衬底550的电介质层545中。可选地,沟槽520和/或过孔510可以蚀刻在衬底550的一层或多层附加层(未示出)中。示出气体片“a”;示出受损电介质部分“b”,并且可表示沟槽520和/或过孔510的表面边缘和/或角损伤;并且还示出未受损电介质部分“c”。受损电介质部分“b”示出为三角形,但是也可使用其它尺寸和其它形状。例如,三角形可用于表示非均匀损伤,其它形状可用于表示其它类型的损伤。如所示,周期结构可具有沿周期方向的直线出现的不同数目的材料。例如,所述材料可包括气体、受损电介质和未受损电介质。可选地,可包括光刻胶材料、ARC材料、BARC材料、TERA材料和/或停止层材料。
在多个实施例中,周期结构可具有一个或多个具有不同形状的受损区域,并且本发明的方法可以相应地调整。一个处理可产生沟槽和/或过孔损伤,方法可调整为建模和/或分析各种损伤情况。此外,库和回归技术可形成为用于在图5A和5B所示中的一个或多个区域中具有受损电介质的周期结构。
图5B示出根据本发明实施例的与示例性受损周期结构500(即通过使用轮廓变量或参数定义的假想轮廓)的尺寸的数值描述相关联的变量。图5B的示例性假想轮廓每一层具有一种或多种材料:在层525.0中示出一种材料,并且该材料为气体;在层525.1、525.4、525.5和525.8中示出三种材料,包括气体、受损电介质材料和未受损电介质材料;在层525.2、525.3、525.6和525.7中示出两种材料,包括气体和未受损电介质材料;并且在层525.9中示出一种材料,该材料可以是衬底材料。可选地,可以使用不同数目的层和/或在其它损伤评估情况中可出现不同数目的材料。
在一些实施例中,其它材料技术可用于测量、分析和/或建模具有一个或多个受损区域的周期结构。受损电介质部分可以被认为是离散之前的附加材料分离。此外,气体片(a)可以被认为是离散轮廓的附加材料偏离。
在其它实施例中,通过使用根均方差(rms)值测量如图5A和5B所示的边缘损伤和/或角损伤,该根均方差描述了(在边缘和/或角处的)损伤厚度绕平均层厚的波动。在光学计量中,可通过使用一个或多个随机空间临界尺寸(CD)变量为结构500的一个或多个受损区域(512、514、522和524)建模。
参照图5A和5B,非均匀介质的光学特性可由复电介质函数和复磁渗透性所描述,二者均为位置函数。如果用于测量非均匀介质的电磁辐射(即入射光束)的波长比受损区域中的粒子尺寸长得多(例如对于正常入射波长大于空间周期的1.3倍),则非均匀介质的经典理论假定该材料可确定为具有有效电介质函数和有效磁渗透性的均匀物质。这些量取决于成分的特性及其容积率和尺寸。在一般情况下,获得的有效材料将是各向异性的,即有效折射率是张量。
具有一个或多个受损区域的结构的模拟衍射信号可通过使用如图4A、4B、5A和5B中所示的示例性结构进行光学计量而产生。例如,示例性结构可包括一个或多个未受损区域和一个或多个受损区域。在产生示例性结构的模拟衍射信号时,未受损区域所使用的折射率可被假定为与所述层(例如电介质)中的材料的折射率相同。受损区域的折射率可被假定为有效折射率,其可以是形成受损区域的两种材料(如电介质和空气)之间的平均值。。
在一个示例性实施例中,可使用加权平均。例如,假定方位角为零,可使用下列方程推导TE-和TM-极化光线的有效折射率:
TE:ε=((ω11)+(ω22))/((ω12))
TM:ε-1=((ω1*(ε1)-1)+(ω2*(ε2)-1))/((ω12))
ω1和ω2是对应于体积百分比的权重因子。例如,如果受损区域的体积包括2%的第一材料(例如空气)和98%的第二材料(例如电介质),则ω1是0.02,ω2是0.98。有效折射率然后可用于产生在光学计量中使用的模拟衍射信号。
此外,参照图4B和5B,示例性结构400和500的假想轮廓可分成多个有效介质层(即层t1到tn)。如图4B和5B所示,每个有效介质层可包括未受损区域和受损区域。还是参见图4B和5B,多个有效介质层的厚度可以变化。此外,未受损区域和受损区域之间的比率(对应如上所述的ω1和ω2)可以变化。有效折射率然后可用于产生在光学计量中使用的模拟衍射信号。
更具体地,在基于库的光学计量中,可产生假想轮廓和相应的模拟衍射信号组用于改变结构500的损伤量(图5)。从而,可将损伤的测量值(例如rms损伤)用作参数之一以在产生假想轮廓和相应模拟衍射信号的库时定义假想轮廓。然后可通过下列步骤测量实际结构的损伤量:获得实际结构的测得的衍射信号,将测得的衍射信号与模拟衍射信号库比较以确定匹配的模拟衍射信号和与该匹配的模拟衍射信号相对应的假想轮廓。然后可基于与匹配的模拟衍射信号相关联的假想轮廓的外层确定该结构的损伤测量值。
在基于回归的光学计量中,可通过假定实际结构的某一损伤量来产生模拟衍射信号。模拟衍射信号可与实际结构的测得的衍射信号相比较。如果模拟衍射信号与测得衍射信号匹配,可假定实际结构具有在产生模拟衍射信号时所假定的损伤量。如果模拟衍射信号与测得的衍射信号不相匹配,那么可通过使用另一损伤量产生另一模拟衍射信号,并将其与测得的衍射信号相比较。
在另一示例性实施例中,可通过使用全散射/全集中散射(TS/TIS)和角度溶解散射(ARS)获得一种结构的损伤测量值。
图6示出根据本发明实施例的测量结构的一部分的简化示意图。在所示的实施例中,示出周期结构/光栅600的一部分,其具有受损区域640A和640B。例如,参照图6,假定该结构是形成于半导体晶片上的带有规则线条/空间图案的周期光栅/结构。如上所述,应该认识到,该结构可以是形成于半导体晶片上的各种类型的结构,例如线条、过孔、孔等。
在本实施例中,如图6所示,假定周期光栅/结构的规则线条/空间图案包括受损边缘640A和/或受损角640B,并且这些表面的其中一个或多个可通过使用边缘粗糙度参数和/或表面粗糙度参数来定义。为了测量受损表面,规则线条/空间图案可被认为沿横向(x)是周期结构,沿第一正交方向(y)是随机结构,和/或第二正交方向(z)。从而,如图6所示,当由白光或单色光照亮时,根据入射光线的方位角φ,边缘和/或角损伤(640A和640B)可使得附加光线响应图案,在大多数情况下(φ≠0)将是多维的。
例如,当使用ARS测量受损结构时,光学计量系统300(图3)可包括一个探测器组和将反射光线指向所述探测器组的透镜系统,所述透镜系统可记录散射光线的角度分布。
例如,当受损表面具有小于探测波长(雷利判据Rayleigh criterion)的四分之一的rms表面损伤时,测得的角度溶解强度分布(被称为双向反射分布函数BRDF)直接转换成受损表面的功率谱密度(PSD)。PSD可用于描述各个空间频率对受损表面和/或层的整体粗糙度有多大贡献。此外,PSD的傅立叶变换是表面的自相关函数(ACF)。见John C.Stover,“Optical Scattering”,SPIE Optical Engineering Press,Second Edition,Bellingham Wash,1995,在此通过引用而引入其全文。
除了测量结构的受损部分,计量系统310中一个或多个探测器的一个或多个信号还可用于提取该结构的未受损部分的确定性轮廓。例如,与镜像方向的探测器相对应的探测器可用于产生在上述基于库的和/或基于回归的方法中使用的模拟衍射信号,以确定该结构的未受损部分的确定性轮廓。
在一个实施例中,当使用TS/TIS测量损伤时,反射球体(例如科布伦次球体)可将散射的光线集中并指向到探测器上。来自于该探测器的信号然后可用于确定受损部分。如上所述,对于rms表面粗糙度小于探测波长(雷利判据Rayleigh criterion)的四分之一的受损表面和/或受损层,测得的散射光线与受损表面和/或受损层的rms粗糙度成正比。
此外,当使用TS/TIS测量损伤时,探测到的信号可用于确定该结构的未受损部分的确定性轮廓。更具体地,探测到的信号可用于产生在上述基于库的和/或基于回归的方法中使用的模拟衍射信号,以确定该结构的未受损部分的确定性轮廓。
而且,TS/TIS技术可用于测量多维结构,并且多维结构的所得到的衍射/散射图案更复杂,因为衍射和散射在多个维度上发生。例如,衍射峰值可在一个或多个维度中涂抹掉。
在可选实施例中,可将探测器设置在两个或多个维度中,以测量多维结构的受损和/或未受损部分。
多种技术可用于测量带图案的晶片的特征上或内的边缘粗糙度的存在,并且这些技术可用于测量边缘和/或角损伤。在Bischoff等人于2003年5月2日提交的名为“EDGE ROUGHNESS MEASUREMENT INOPTICAL METROLOGY”的美国专利申请No.101/428,186中描述了上述技术,在Jakatdar等人于2001年10月22日提交的名为“BALANCINGPLANARIZATION OF LAYERS AND THE EFFECT OF UNDERLYINGSTRUCTURE ON THE METROLOGY SIGNAL”的美国专利No.6,743,646中描述了包括多层测量的ODP技术,在此通过引用而引入这两个专利申请。
回去参照图6,在所示的实施例中示出周期结构/光栅600的一部分,其包括示出为具有三角形截面的三个隆起621。在其它实施例中,本发明的方法可用于隆起具有更复杂形状的情况,甚至可用于“隆起”和“沟槽”的类可以不正常地定义的情况。根据本发明的上下文,术语“隆起”可用于衬底上周期结构的一个周期。图6的每个隆起621被认为是在+y和-y方向无限延伸,并且无限的规则间距的隆起621的组被认为是在+x和-x方向延伸。隆起621在沉积薄膜610上,薄膜610在衬底605上,该衬底被认为是在-z方向半无限地延伸。周期光栅/结构的法向量沿-z方向。
此外,图6示出根据本发明实施例的与衍射光栅的数值分析相关联的变量。具体地:
θ是入射电磁辐射631的坡印亭矢量和周期光栅/结构600的法向量n之间的角度。坡印亭矢量和法向量n限定了入射面640。
φ是入射电磁辐射631的方位角,即光栅的周期性的方向和入射面640之间的角度,所述方向在图6中沿x轴。(为便于表示在本发明的数值分析中,方位角φ设定为0。)
ψ是入射电磁辐射631的电场矢量
Figure G2007800123940D0032162354QIETU
和入射面640之间的角度,即电场矢量
Figure G2007800123940D0032162359QIETU
和入射面640上其投影
Figure 2007800123940100002G2007800123940D0032162359QIETU
′之间的角度。当φ=0并且入射电磁辐射631被极化使得ψ=Π/2时,电场矢量
Figure 2007800123940100002G2007800123940D0032162359QIETU
垂直于入射面640并且磁场矢量
Figure G2007800123940D0032094856QIETU
位于入射面640中,并且这被称为TE极化。当φ.phi.=0并且入射电磁辐射631被极化使得ψ=0时,磁场矢量
Figure 2007800123940100002G2007800123940D0032162359QIETU
垂直于入射面640并且电场矢量
Figure 2007800123940100002G2007800123940D0032162359QIETU
位于入射面640中,并且这被称为TM极化。任何平面极化都是同相TE和TM极化的组合。下面描述的本发明的方法可应用于任何极化,通过单独计算TE和TM分量的衍射并求和而得到TE和TM分量的叠加。而且,尽管‘离轴’φ≠0情况更为复杂,因为其不能被分成TE和TM分量,本发明的实施例也可用于离轴入射辐射。
λ是入射电磁辐射631的波长。
图7示出了根据本发明实施例的用于操作光学计量系统的方法。图7示出用于确定受损周期结构的衍射反射率的另一方法的流程图。在所示的实施例中,通过使用附加材料偏离技术和使用假想层数据来检查周期结构。该技术导致沿周期方向出现一种或多种材料的周期结构/光栅。图7示出用于确定沿周期方向出现一种或多种材料的受损周期结构的一种或多种介电常数函数的方法,并且可通过使用假想层数据测量、分析和/或建模该介电常数函数。例如,假想层数据可用于产生受损周期结构的模拟衍射反射率的理论值,该受损周期结构可包括受损和/或未受损材料。
图7示出用于TE极化严格耦联波分析的方法流程图。可选地,可使用TM极化严格耦联波分析。
图8示出根据本发明实施例的用于操作光学计量系统的另一方法。在步骤810中,受损周期结构(即图6中示出的目标周期光栅600)可被分成假想的谐波展开层。再参照图4B和5B,L+1可用于表示系统被分成的谐波展开层的数目。谐波展开层“0”和L可以被认为是半无限层。谐波展开层“0”可以被认为是气体层(例如过程气体、真空或空气),其可具有几乎一致的折射率n0。谐波展开层L可以是“衬底”层,其通常是半导体(在半导体应用中例如为硅或锗或其组合形式)。在所示的每个情况中,具有多个谐波展开层,气体层位于“零阶”谐波展开层上。一般地或者总体而言,谐波展开层被分配附图标记,并且根据情况谐波展开层可被认为是包括不同材料。如图4B和5B所示,谐波展开层形成为平行于周期结构的周期方向。可选地,还可测量与测量结构(光栅)的周期方向形成角度的层。
再参照图8,在将周期结构分成如上所述的假想谐波展开层后,在步骤912中,假想谐波展开层进一步被分成由具有形成受损周期结构的谐波展开层相交所限定的片。如图4B和5B所示,每个中间谐波展开层的每个材料的截面(intersection)可通过使用一个或多个矩形截面的平面片“a”、“b”和“c”来近似。每个片的顶表面和底表面位于谐波展开层的边界处。每个片的侧表面竖直,并且当边界竖直时位于材料之间的边界处,或者当边界不竖直时穿过材料之间的边界处。清楚地,具有不仅仅由竖直或水平边界构成的截面的示例性结构的任何几何形状都可通过使用更多数目的谐波展开层来更好地近似。
图4-6中示出的其它参数如下:
D是周期长度或截距,即相邻的一对隆起之间相同点之间的长度。
Figure G2007800123940D00331
是第l层中第k种材料的开始边缘的x坐标,
Figure G2007800123940D00332
是第l层中第k种材料的结束边缘的x坐标,于是
Figure G2007800123940D00333
是第l层中第k片的宽度。例如,如图4B所示,
Figure G2007800123940D00334
是受损电介质片“b”的宽度。
t1是用于1<l<(L-1)的第l层的厚度。在一个示例性实施例中,能够选择层的厚度t1以使得(在轮廓离散化后)在每层中的每个竖直线段仅穿过单种材料。然而,当非线性受损发生时,在离散化之前,在片“b”的区域中的竖直线可穿过气体材料和受损电介质材料之间的边界。从而,可通过使用非线性线段的步进近似的执行更小的离散化过程,以减小区域的数目和尺寸,其中,区域中的竖直线穿过气体材料和受损电介质材料。
nk是周期结构中第k种材料的折射率。
在确定由周期结构产生的衍射时,如上所述,可使用傅立叶空间形式的麦克斯韦尔方程。再参照图8,为了产生这些方程,在步骤814中,通过在受损周期结构中完成材料的介电常数的函数的谐波展开而产生假想层数据。
在图8的步骤814a(TE极化的步骤710)中,通过本领域技术人员所公知的技术确定每一层l的介电常数εl(x),例如Niu等人于2000年12月28日提交的名为“PROFILER BUSINESS MODEL”的美国专利申请No.09/728,146,在此通过引用而引入其全文。在图8的步骤814b(图7的步骤712和图8的步骤812)中执行沿着周期结构的周期方向x的每一层l的介电常数εl(x)或逆介电常数πl(x)=1/εl(x)的一维傅立叶变形,以提供介电常数的谐波分量εl,i或逆介电常数的谐波分量πl,i,其中i是谐波分量的阶。
具体地,第l层的实空间介电常数εl(x)与第l层的介电常数εl(x)的谐波由下式相关:
&epsiv; l ( x ) = &Sigma; i = - &infin; &infin; &epsiv; l , i exp ( j 2 &pi;i D x ) - - - ( 1.1.1 )
从而,通过逆变换,
&epsiv; 0 = &Sigma; k = 1 r n k 2 x k - 1 - x k D - - - ( 1.1.2 )
并且对于i不等于零时,
&epsiv; l , i = &Sigma; k = 1 r n k 2 - ji 2 &pi; [ ( cos ( 2 i&pi; D x k ) - cos ( 2 &pi;i D x k - 1 ) )
- j ( sin ( 2 i&pi; D x k ) - sin ( 2 i&pi; D x k - 1 ) ) ] - - - ( 1.1.3 )
所述和跨过边界的数目r,nk是第k和(k-1)边界之间的材料的折射率,j是定义为-1的平方根的虚数。类似地,第l层的逆介电常数πl,i与第l层的逆介电常数谐波πl, i由下式相关:
&pi; l ( x ) = &Sigma; i = - &infin; &infin; &pi; l , i exp ( j 2 &pi;i D x ) - - - ( 1.1.4 )
从而,通过逆变换,
&pi; 0 = &Sigma; k = 1 r n k - 2 x k - 1 - x k D - - - ( 1.1.5 )
并且对于i不等于零时,
&pi; l , i = &Sigma; k = 1 r n k - 2 - ji 2 &pi; [ ( cos ( 2 i&pi; D x k ) - cos ( 2 &pi;i D x k - 1 ) )
- j ( sin ( 2 i&pi; D x k ) - sin ( 2 i&pi; D x k - 1 ) ) ] - - - ( 1.1.6 )
所述和跨过边界的数目r,nk是第k和(k-1)边界之间的材料的折射率,j是限定为-1的平方根的虚数。重要的是,前述用于介电常数ε和逆介电常数π的谐波分量的这些方程用公式表示为材料上的和,并且仅指向每个谐波展开层仅具有一种或两种材料的位置。相反,方程(1.1.2)和(1.1.3)和方程(1.1.5)和(1.1.6)用公式表示为沿周期方向出现的不同材料之间的边界上的和,并可处理在谐波展开层中具有任何数目材料的几何形状。
参照图8,在图816中,上述产生的假想层数据组被处理为产生衍射折射率。该步骤包括三个子步骤:首先,在子步骤816a中,傅立叶空间电磁场方程在每个假想层中通过介电常数函数的谐波展开而建立。其次,在子步骤816b中,这些傅立叶空间方程通过使用谐波展开层之间的边界条件组合。最后,在子步骤816c中,求解傅立叶空间方程组以提供衍射折射率。这些子步骤在此参照图7的流程图中的相应步骤中解释。
为了建立傅立叶空间电磁场方程,在图8的步骤814中定义(2o+1)×(2o+1)托尔普利茨(Toeplitz)形式、介电常数谐波矩阵El是方便的。该介电常数谐波矩阵包括介电常数εl(x)的傅立叶展开的谐波分量并定义为:
E l = &epsiv; l , 0 &epsiv; l , - 1 &epsiv; l , - 2 &CenterDot; &CenterDot; &CenterDot; &epsiv; l , - 2 o &epsiv; l , 1 &epsiv; l , 0 &epsiv; l , - 1 &CenterDot; &CenterDot; &CenterDot; &epsiv; l , - ( 2 o - 1 ) &epsiv; l . 2 &epsiv; l , 1 &epsiv; l , 0 &CenterDot; &CenterDot; &CenterDot; &epsiv; l , - ( 2 o - 2 ) &CenterDot; &CenterDot; &CenterDot; &CenterDot; &CenterDot; &CenterDot; &CenterDot; &CenterDot; &CenterDot; &CenterDot; &CenterDot; &CenterDot; &CenterDot; &CenterDot; &CenterDot; &epsiv; l , 2 o &epsiv; l , ( 2 o - 1 ) &epsiv; l , ( 2 o - 2 ) &CenterDot; &CenterDot; &CenterDot; &epsiv; l , 0 .
类似的介电常数谐波矩阵可定义为包括逆介电常数πl(x)的傅立叶展开的谐波分量。
在求解方法中,输出波矢量的分量可假定为在包括周期隆起的每一层中从而由于边界条件在气体层和衬底层中也满足弗洛奎特(Floquet)条件(还称为布洛赫定理,见Solid State Physics,N.W.Ashcrof and N.D.Mermin,Saunders College,Philadelphia,1976,第133—134页)。
当求解气体层中的电场时,尽管通过使用平面波可展开,还是不通过实空间公式的傅立叶变换确定。而且,该公式基于弗洛奎特条件以及输入波和输出波辐射都具有幅度n0k0的波矢量的要求而先验形成。类似地,衬底层中的平面波展开可先验获得。在衬底层中,电场E公式化为发射波,该发射波是波矢量(kxi,k0,zi)的x分量kxi满足弗洛奎特条件的平面波的和。
再参见图7,基于弗洛奎特条件还先验产生中间层(图4B的425.1到425.(L-1)和图5B的525.1到525.(L-1))的电场和磁场的平面波展开。第l层中的电场El.y被公式化为沿周期方向的平面波展开(步骤734)。
类似地,第l层中的磁场Hl,y被公式化为沿周期方向的平面波展开(步骤734)。麦克斯韦尔方程可用于使一层中的电场和磁场相关。
如图8所示,(子步骤816b)通过使用谐波展开层之间的边界条件可组合傅立叶空间方程。应用麦克斯韦尔方程(步骤741和742)可提供第l层的电场和磁场的谐波幅度之间的关系。
将麦克斯韦尔方程的结果结合并将计算截尾到谐波幅度“S”中的“o”阶,可提供具有波动方程形式的第二阶差分矩阵(步骤745)。
在步骤747中,可求解特征方程,即可计算特征向量和特征值。在步骤748中,可形成对角线特征矩阵。在步骤750中,可求解波动方程并且使用指数求和可获得谐波解。
在步骤755中,特征解中的常数可通过应用正切电场和磁场在每对相邻层之间的边界处连续的要求而确定。在气体层和第一层之间的边界处,电场Ey和磁场Hx必须连续。此外,在相邻的中间层之间,电场Ey和磁场Hx必须连续。而且,在第(L-1)层和衬底层之间的边界处,电场Ey和磁场Hx也必须连续。
在步骤760中,矩阵方程可组合以提供边界匹配的系统矩阵方程,并且本领域技术人员也可以理解,该边界匹配的系统矩阵方程可求解(步骤765)(图8的流程图中的步骤816c)以提供每个谐波阶i的反射率。(可选地,“Stable Implementation of the Rigorous Coupled-wave Analysis forSurface-Relief Dielectric Gratings:Enhanced Transmittance Matrix Approach”,E.B.Grann和D.A.Pommet,J Opt.Soc.Am.A,Vol.12,第1077—1086,1999年5月中描述的部分解方法可应用于计算衍射折射率R或衍射透射率T。)
如此所述,平面极化可以是同相TE和TM极化的组合。本发明的方法可应用于任何极化,通过单独计算TE和TM分量的衍射并求和而得到TE和TM分量的叠加。
在可选实施例中,可通过使用上述用于TE极化入射电磁辐射的衍射反射率的并发过程的方法来计算TM极化入射电磁辐射的衍射反射率。参照图6,对于TM极化入射辐射631,电场矢量E位于入射面640中,磁场矢量H垂直于入射面640。(在TE和TM极化RCWA计算中的相似性以及本发明的应用促使使用本说明书中的术语‘电磁场’来总体上指代电磁辐射的电场和/或磁场中的二者之一或者二者)。
图9A-9G示出根据本发明实施例的双大马士革过程的简化流程图。图9A中示出示例性双硬掩模过程。图9B中示出示例性金属硬掩模过程。图9C中示出示例性BARC填充过程。图9D中示出示例性抗蚀填充和蚀刻过程。图9E中示出示例性多层抗蚀过程。图9F示出示例性双层抗蚀过程。图9G中示出示例性无机BARC(DUO/SLAM)过程。
在可选实施例中,可调蚀刻抗蚀ARC(TERA)材料可用作BARC材料和/或ARC材料和/或硬掩模材料,光栅材料可包括GaAs、SiGe和人造硅。低k电介质可包括无机材料、有机材料及其组合形式。实例可包括HOSPTM
Figure G2007800123940D00371
有机硅玻璃(OSG)、CORAL和黑钻。
在双大马士革过程期间可执行与控制策略相关的数据采集(DC)规划和损伤评估过程。数据采集规划和/或损伤评估过程可在控制规划执行之前、期间和/或之后执行。数据采集规划可从处理元件(例如工具、模块、室和传感器)、测量元件(例如OES系统、ODP系统、SEM系统、TEM系统和MES系统)获得数据。
此外,数据采集规划还可基于情况而选择和启动。DC规划可用于提供与控制策略相关联的损伤评估过程的数据。DC规划确定采集哪个数据、如何采集数据以及将数据存在哪儿,控制器可自动产生用于物理模块的数据采集规划和/或损伤评估过程。一个指定的模块每次可激活一个或多个数据采集规划,并且控制器可选择和使用与晶片情况相匹配的数据采集规划。数据可包括轨迹数据、处理日志信息、配方数据、维护计数数据、ODP数据、OES数据、VIP数据或模拟数据或其两种或多种的组合。测量设备和/或传感器可由DC规划启动和停止。DC规划还可提供用于修剪数据、截短数据和处理尖峰数据和异常值的信息。
此外,在数据采集之前、期间和/或之后,可分析数据并且可识别报警/故障状况。还可执行与分析策略相关的分析规划。此外,可执行判断和/或干涉规划。例如,在数据采集后,数据可送到判断和/或干涉规划以进行运行规则评价。故障极限值可基于历史数据自动地计算,或者基于用户经验或处理知识而手工输入或者从主机获得。可将该数据与报警和控制极限值相比较,并且当触发运行规则时,可产生报警表示处理已经超过统计极限值。
而且,当分析策略执行时,可分析晶片数据、处理数据、模块数据和/或损伤评估数据,并且可识别报警/故障状况。此外,当判断和/或干涉规划与损伤评估过程相关联时,可执行它们。例如,已经产生损失评估数据后,可通过使用运行规则评价技术分析所述数据。故障极限值可基于历史数据自动地计算,或基于用户经验或处理知识手工地输入或者从主机获得。可将损伤评估数据与报警和控制极限值相比较,并且当触发运行规则时,可产生报警表示处理已经超过统计极限值。
当产生报警时,控制器可执行通知或干涉。通知可以通过Email或Email启动的寻呼机。此外,控制器可执行干涉:或者在当前批次的末期暂停该处理,或者在当前晶片末端暂停该处理。控制器可识别促使报警产生的处理模块。
图10示出根据本发明实施例的用于操作处理系统的方法的示例性流程图。过程1000开始于任务1005。在一个实施例中,主机系统可将配方和/或可变参数下载到处理工具,例如处理工具110(图1)。此外,主机系统可确定晶片序列。所下载的数据可包括系统配方、处理配方、计量配方和晶片序列配方。例如,当所匹配的控制策略中控制规划所引用的所有这些配方已经得到验证时,控制器120将消息发送到处理工具110,指示系统配方验证成功。如果系统配方得到验证,该批次能以R2R控制开始。如果未得到验证,该批次不能以R2R控制开始。
在任务1010中,当晶片由处理器系统100接收时(图1),可接收与晶片和/或批次相关联的预处理数据。预处理数据可包括与晶片相关的映射,例如用于输入晶片和/或输入批次的损伤评估映射、参考映射、测量值映射、预测映射和/或信任映射。预处理数据可包括来自于与处理系统、主机系统和/或另一处理系统相关联的测量值模块的测量数据。
在任务1015中,可执行查询以确定何时执行预处理测量和/或损伤评估过程。当处理序列到期时,不会出现晶片损伤,并且并不是所有晶片都需要预处理测量和/或损伤评估过程。然而,一些晶片可能会被识别为处理验证和/或损伤评估晶片,并且预处理测量和/或损伤评估过程可在这些晶片上执行。当处理正在进行和/或验证时,处理结果可能会变化,并且可以在大量晶片上执行预处理测量和/或损伤评估过程。当需要预处理过程时,过程1000可分支到任务1020,并且当不需要预处理过程时,过程1000可分支到任务1030。
在任务1020中,可执行预处理测量和/或损伤评估过程。在一个实施例中,控制策略可被执行或者用于建立测量和/或损伤评估过程规划/配方。当晶片位于计量工具中时,可进行实时测量,当晶片当前不在计量工具中时,晶片可被传送到计量模块中,然后可进行实时测量。例如,晶片可位于或被传送到计量工具中,例如IMM140(图1)。
在双大马士革过程期间,第一大马士革过程执行后随后可进行第二大马士革过程。在一些实施例中,可进行先过孔后沟槽(VFTL)过程。在其它实施例中,可进行先沟槽后过孔(TFVL)过程。预处理测量和/或损伤评估过程可在第一大马士革过程、第二大马士革过程或者两个大马士革过程之前进行。可选地,可能并不需要预处理测量和/或损伤评估过程。
在一些实施例中,第一图案大马士革层上的蚀刻特征可以在执行“先过孔”或“先沟槽”蚀刻操作后进行。可使用一个或多个数据采集DC规划和/或映射应用。可选地,可使用不同的计量系统。
由于制造者变得更为自信,该过程会和将会继续制造高质量设备,所以可减小在测量和/或损伤评估规划中使用的测量站点的数目。可选地,可使用其它预处理测量规划和/或其它测量站点。
半导体制造者可基于存储在历史数据库中的数据指定预处理测量和/或损伤评估规划。例如,半导体制造者在进行SEM测量时,可能已经在晶片上历史地选择了多个位置,并且将使得集成计量工具的测量数据与使用SEM工具测量的数据相关。其它制造者可使用TEM和/或FIB数据。
当需要新的和/或其它计量和/或损伤评估数据时,可在晶片上的一个或多个站点处进行光学计量测量。例如,在一个或多个测量和/或损伤评估站点处可测量预处理过的晶片上的测量特征,例如周期光栅、周期阵列和/或其它周期结构。例如,晶片上的特征可以是位于用于双大马士革过程的一层中,如图10A-10G所示。
预处理测量和/或损伤评估过程可能会消耗时间,并且会影响处理系统的生产。在过程运行期间,制造者可能希望最小化测量晶片所使用的时间量。预处理测量和/或损伤评估规划可以是基于情况的,并且基于晶片情况可选择不同的策略和/或规划。例如,通过使用包括在预处理测量规划中的测量站点的子集,可能一个或多个晶片不需要测量,和/或可进行预处理测量过程。
在一个实施例中,在半导体处理的显影部分期间,可形成并存储一个或多个参考映射以供后续使用。参考映射可包括测量映射、损伤评估映射、预测映射和/或信任映射。
在预处理期间,一个或多个预测映射可被形成和/或修改,并且预测映射可包括预测过的测得数据、预测过的损伤评估数据和/或预测过的处理数据。例如,预测过的损伤评估数据可通过使用损伤评估模型获得。而且,一个或多个信任映射可被形成和/或修改,并且信任映射可包括用于测得数据的信任值、用于损伤评估数据的信任值和/或用于处理数据的信任值。
在任务1030中,可处理晶片。例如,当至少一个晶片映射或晶片映射的至少一个区域位于所需极限值内时,晶片可被处理。在双大马士革过程期间,可执行第一大马士革过程,随后执行第二大马士革过程。在一些实施例中,可执行VFTL过程。在其它实施例中,可执行TFVL过程。测量过程可在第一大马士革过程之前、期间和/或之后或者在第二大马士革过程之前、期间和/或之后或者在两个大马士革过程之前、期间和/或之后执行。可选地,可能不需要测量过程。
图11A示出了根据本发明实施例的VFTL过程的简化示意图。在一些情况中,VFTL过程可包括完整的过孔过程,并且不使用停止层。可选地,可执行部分过孔过程,并可使用一个或多个停止层。
如图11A所示,VFTL过程1100可包括第一组光刻步骤1102,例如沉积、曝光、显影、重叠和测量步骤。例如,当光刻系统包括集成测量模块时,可由IMM执行测量,并且可通过使用其它测量工具来进行可选的测量。
在光刻步骤1102中形成和/或获得的信息可将1103前馈到蚀刻步骤1104。
VFTL过程1100还可包括蚀刻步骤1104,其中可蚀刻完整的过孔或部分过孔。在过孔蚀刻步骤期间和/或之后,可按需地使用测量步骤1106。接下来,可进行例如灰化和/或湿式清洗步骤的清洁步骤1108,以从晶片上去除处理残余物。在清洁步骤之后和/或期间,可按需地使用测量步骤1110。
此外,VFTL过程1100可包括第二组光刻步骤1112,例如沉积、曝光、显影、重叠和测量步骤。例如,当光刻系统包括集成测量模块时,可由IMM执行测量,并且可通过使用其它测量工具来进行可选的测量。
在第二组光刻步骤1112中形成和/或获得的信息可将1113前馈到沟槽蚀刻步骤1112。
VFTL过程1100还可包括第二组蚀刻步骤1112,以蚀刻完整的或部分的沟槽。在沟槽蚀刻步骤之后和/或期间,可按需地使用测量和/或损伤评估步骤(未示出)。接下来,可进行例如灰化和/或湿式清洗步骤的清洁步骤1116,以从晶片上去除处理残余物。在清洁步骤之后和/或期间,可按需地使用测量步骤(未示出)。
而且,VFTL过程1100可包括其它蚀刻步骤1118,例如阻挡层蚀刻步骤,IM测量1120可在其它蚀刻步骤已经执行后再进行。
在IM测量1120期间形成和/或获得的信息可将1115反馈到沟槽蚀刻步骤1112,并反馈1119到其它蚀刻步骤1118。
在VFTL过程1100的一个或多个步骤期间,可形成和/或修改测量数据。在VFTL过程1100的一个或多个步骤期间,可形成和/或修改测量映射、损伤评估映射、预测映射、参考映射、处理映射、信任映射和/或其它映射。例如,数据和/或映射可以被形成和/或修改,并可用于损伤评估。
图11B示出了根据本发明实施例的TFVL过程的简化示意图。在一些情况中,TFVL过程1150可包括完整的过孔过程,并且不使用停止层。可选地,可执行部分过孔过程,并可使用一个或多个停止层。
TFVL过程1150可包括第一组光刻步骤1152,例如沉积、曝光、显影、重叠和测量步骤。例如,当光刻系统包括集成测量模块时,可由IMM执行测量,并且可通过使用其它测量工具来进行可选的测量。
在光刻步骤1152中形成和/或获得的信息可将1153前馈到蚀刻步骤1154。
TFVL过程1150还可包括蚀刻步骤1154,以蚀刻完整的沟槽或部分沟槽。在沟槽蚀刻步骤期间和/或之后,可按需地使用测量步骤1156。在蚀刻步骤1154和/或测量步骤1156期间形成和/或获得的信息可反馈1157到蚀刻步骤1154。接下来,可进行例如灰化和/或湿式清洗步骤的清洁步骤(未示出),以从晶片上去除处理残余物。在清洁步骤之后和/或期间,可按需地使用测量步骤(未示出)。
此外,TFVL过程1150可包括第二组光刻步骤1158,例如沉积、曝光、显影、重叠和测量步骤。例如,当光刻系统包括集成测量模块时,可由IMM执行测量,并且可通过使用其它测量工具来进行可选的测量。
在第二组光刻步骤1158中形成和/或获得的信息可将1159前馈到沟槽蚀刻步骤1160。
TFVL过程1150还可包括第二组蚀刻步骤1160,以在沟槽已经蚀刻后蚀刻完整的或部分的过孔。在过孔蚀刻步骤之后和/或期间,可按需地使用测量和/或损伤评估步骤(未示出)。接下来,可进行例如灰化和/或湿式清洗步骤的清洁步骤1162,以从晶片上去除处理残余物。在清洁步骤之后和/或期间,可按需地使用测量步骤(未示出)。
而且,TFVL过程1150可包括其它蚀刻步骤1164,例如阻挡层蚀刻步骤,IM测量1166可在其它蚀刻步骤已经执行后再进行。
在IM测量1166期间形成和/或获得的信息可将1165反馈到过孔蚀刻步骤1160,并反馈1165到其它蚀刻步骤1164。
在TFVL过程1150的一个或多个步骤期间,可形成和/或使用新的测量站点。在TFVL过程1150的一个或多个步骤期间,可使用和/或形成测量映射、损伤评估映射、预测映射、参考映射、处理映射、信任映射和/或其它映射。例如,映射可用于形成新的测量站点,并且新的测量站点可用于形成和/或更新一个或多个映射。
在双大马士革过程中执行处理之前、期间和/或之后可形成和/或修改一个或多个处理结果和/或损伤评估映射。例如,处理结果映射和/或损伤评估可通过使用测量映射和/或处理映射而确定,或者处理结果映射和/或损伤评估映射可通过使用处理模型而确定。处理结果和/或损伤评估映射可表示测得的、预测的和/或模拟的值。
用于过孔蚀刻步骤的处理结果可包括用于过孔开口的X尺寸和Y尺寸、用于过孔开口下的一层或多层的层信息、用于所述一层或多层的侧壁角度数据、用于该过孔的深度信息、对齐数据、隔离的/巢状数据和用于定义过孔形状的多个建模形状。
沟槽蚀刻过程的处理结果映射可包括用于沟槽宽度的CD数据、用于沟槽深度的CD数据、用于沟槽开口之上和/或之下的一层或多层的层信息、用于所述一层或多层的侧壁角度数据、对齐数据、隔离的/巢状数据和用于定义沟槽形状的多个建模形状。
误差值和/或极限值可与处理结果和/或损伤评估映射相关联,可用于标识一个或多个过程中的允许的变量。此外,处理结果和/或损伤评估映射可用于形成处理序列中一个或多个处理的危险系数。例如,处理结果和/或损伤评估映射可随着时间变化,并可随着室清洁过程变化。
当晶片由处理系统100接收时,处理系统可接收晶片数据,其可用于确定何时需要损伤评估过程。例如,可使用晶片状态数据。当处理到期时,处理结果应该是常数,并且并不是每个晶片都需要损伤评估过程。然而,一些晶片可被标识为处理验证晶片,并且这些晶片上可执行损伤评估过程。当处理未到期并且处理结果变化时,可执行损伤评估过程。
在多个实施例中,控制策略可被执行并用于形成用于一个或多个计量工具的损伤评估测量配方。当已经进行双大马士革过程后,晶片可能具有位于沟槽特征内的过孔特征、隔离和/或巢状过孔特征和隔离和/或巢状沟槽特征。例如,晶片可传送到IMM140(图1),当双大马士革过程已经在此晶片上执行后,可通过使用ODP技术在该IMM140处执行损伤评估过程。可选地,可使用不同的计量系统。例如,可进行FIB、TEM和/或SEM测量。此外,损伤评估过程可包括用于隔离的和巢状特征的不同的损伤评估过程。
在测量晶片时,损伤评估规划可用于确定测量站点的数目和位置。此外可执行一个或多个数据采集规划,可使用一个或多个映射应用,并且可形成一个或多个测量映射。此外,可使用可存储的测量映射。
在任务1035中,可执行查询以确定何时执行后处理测量和/或损伤评估过程。当处理序列到期时不会出现晶片损伤,并且并不是所有晶片都需要后处理测量和/或损伤评估过程。然而,一些晶片可能会被标识为处理验证和/或损伤评估晶片,并且在这些晶片上可执行后处理测量和/或损伤评估过程。当处理正在进行和/或验证时,处理结果可能会变化并且可以在大量晶片上执行后处理测量和/或损伤评估过程。当需要后处理过程时,过程1000可分支到任务1040,当不需要后处理过程时,过程1000可分支到任务1050。
在任务1040中,可执行后处理测量和/或损伤评估过程。在一个实施例中,控制策略可被执行并用于形成测量和/或损伤评估过程规划/配方。当晶片位于计量工具中时,可进行实时测量和/或损伤评估,当晶片当前不在计量工具中时,晶片可被传送到计量模块中,然后可在稍后时间时进行测量和/或损伤评估。例如,晶片可位于或被传送到计量工具中,例如IMM140(图1)。
在双大马士革过程期间,第一大马士革过程执行后随后可进行第二大马士革过程。在一些实施例中,可进行先过孔后沟槽(VFTL)过程。在其它实施例中,可进行先沟槽后过孔(TFVL)过程。后处理测量和/或损伤评估过程可在第一大马士革过程、第二大马士革过程或者两个大马士革过程之前进行。可选地,可能并不需要后处理测量和/或损伤评估过程。
在一些实施例中,带图案的大马士革层上的蚀刻特征可以在执行“先过孔”或“先沟槽”蚀刻操作后进行。可使用一个或多个数据采集DC规划和/或映射应用。可选地,可使用不同的计量系统。
图12A-12C示出根据本发明实施例的晶片映射的简化示意图。在所示的实施例中,示出后处理晶片映射,其具有125个芯片/小片,但是本发明中并不需要。可选地,可示出不同数目的芯片/小片。此外,所示的圆形形状是说明性目的,对本发明而言并不是必需的。例如,圆形晶片可由非圆形衬底替换,并且芯片/小片可具有非圆形形状。
图12A示出包括多个芯片/小片1210A的晶片1200A上第一后处理晶片映射1220A的简单示意图。示出了行和列,并且从0到12编号以便说明。此外,标记了12个芯片/小片1230A(1A-12A),并且这些芯片/小片可用于限定所示的后处理测量和/或损伤评估规划1220A的测量站点的位置。此外,示出两个圆形线(1201A和1202A),这些线可用于在晶片1200A上形成三个区域(1205A、1206A和1207A)。可选地,可以在晶片映射1220A上形成不同数目的具有不同形状的区域,并且在晶片上的不同位置可形成不同数目的测量和/或损伤评估站点。当形成晶片的测量和/或损伤评估规划时,可在一个或多个晶片区域中形成一个或多个测量和/或损伤评估站点。例如,当形成该规划时,并不必需在图12A中示出的所有测量站点1230A处进行测量和/或损伤评估。
图12B示出包括多个芯片/小片1210B的晶片1200B上第二后处理晶片映射1220B的简单示意图。示出了行和列,并且从0到12编号以便说明。此外,标记了12个芯片/小片1230B(1B-12B),并且这些芯片/小片可用于限定所示的后处理测量和/或损伤评估规划1220B的测量站点的位置。此外,示出两个圆形线(1201B和1202B),这些线可用于在晶片1200B上形成三个区域(1205B、1206B和1207B)。可选地,可以在映射1220B上形成不同数目的具有不同形状的区域,并且在晶片上的不同位置可形成不同数目的站点。当形成晶片的测量和/或损伤评估规划时,可在一个或多个晶片区域中形成一个或多个测量和/或损伤评估站点。例如,当形成该规划时,并不必需在图12B中示出的所有测量站点1230B处进行测量和/或损伤评估。
图12C示出包括多个芯片/小片1210C的晶片1200C上第三后处理晶片映射1220C的简单示意图。示出了行和列,并且从0到12编号以便说明。此外,标记了12个芯片/小片1230C(1C-12C),并且这些芯片/小片可用于限定所示的后处理测量和/或损伤评估规划1220C的测量站点的位置。此外,示出两个圆形线(1201C和1202C),这些线可用于在晶片1200C上形成三个区域(1205C、1206C和1207C)。可选地,可以在后处理测量和/或损伤评估映射1220C上形成不同数目的具有不同形状的区域,并且在晶片上的不同位置可形成不同数目的站点。当形成晶片的测量和/或损伤评估规划时,可在一个或多个晶片区域中形成一个或多个测量和/或损伤评估站点。例如,当形成该规划时,并不必需在图12C中示出的所有测量站点1230C处进行测量和/或损伤评估。
由于制造者变得更为自信,该过程会和将会继续制造高质量设备,所以可减小在测量和/或损伤评估规划中使用的测量站点的数目。可选地,可使用其它后处理测量规划和/或其它测量站点。
半导体制造者可基于存储在历史数据库中的数据指定测量和/或损伤评估规划。例如,半导体制造者在进行SEM测量时,可能已经在晶片上历史地选择了多个位置,并且将使得集成计量工具的测量数据与使用SEM工具测量的数据相关。其它制造者可使用TEM和/或FIB数据。
当需要新的和/或其它计量和/或损伤评估数据时,可在晶片上的一个或多个站点处进行光学计量测量。例如,在一个或多个测量和/或损伤评估站点处可测量后处理过的晶片上的测量特征,例如周期光栅、周期阵列和/或其它周期结构,如图12A-12C所示。例如,晶片上的特征可以是位于用于双大马士革过程的一层中,如图12A-12B所示。
后处理测量和/或损伤评估过程可能会消耗时间,并且会影响处理系统的生产。在过程运行期间,制造者可能希望最小化测量晶片所使用的时间量。后处理测量和/或损伤评估规划可以是基于情况的,并且基于晶片情况可选择不同的策略和/或规划。例如,通过使用包括在后处理测量规划中的测量站点的子集,可能一个或多个晶片不需要测量,和/或可进行后处理测量过程。
在半导体处理的显影部分期间,可形成并存储一个或多个参考映射以供后续使用。参考测量映射可包括与图12A-12C中示出的不同的测量站点处测得的数据。参考损伤评估映射可包括与图12A-12C中示出的不同的测量站点处测得的损伤评估数据。可选地,参考映射可使用相同组的测量站点或者参考测量映射可以是不必需的。
在处理之前、期间和/或之后,可形成和/或修改一个或多个预测映射,并且预测映射可包括预测到的测得数据、预测到的损伤评估数据和/或预测处理数据。例如,预测到的损伤评估数据可通过使用损伤评估模型获得。
此外,在处理之前、期间和/或之后,可形成和/或修改一个或多个信任映射,信任映射可包括用于测得数据的信任值、用于损伤评估数据的信任值和/或用于处理数据的信任值。
晶片映射可包括一个或多个吻合度(GOF)映射、一个或多个光栅厚度映射、一个或多个与过孔相关的映射、一个或多个临界尺寸(CD)映射、一个或多个CD轮廓映射、一个或多个与材料相关的映射、一个或多个与沟槽相关的映射、一个或多个侧壁角度映射、一个或多个不同宽度映射或其组合形式。后处理数据还可包括站点结果数据、站点数目数据、CD测量值数据、标记数据、测量站点数目数据、坐标X数据、坐标Y数据等。
在一些实施例中,可进行曲线拟合过程以计算未测量的晶片上站点的数据。可选地,晶片映射可通过使用表面估计、表面拟合技术或其它数学技术来确定。当产生用于晶片的映射时,在一个或多个晶片区域中可形成一个或多个测量站点,并且这些站点可用于提供数据更为精确的区域。例如,当产生映射时,并不需要在所有站点处进行测量。
由于映射应用所产生的一些差错可能会传送到FDC系统,并且FDC系统可决定处理系统应该如何响应这些差错。其它差错可通过映射应用解决。
当形成和/或修改晶片映射时,可能不计算和/或不需要计算整个晶片的值,并且晶片映射可包括用于一个或多个芯片/小片、一个或多个不同区域和/或一个或多个不同形状的区域的数据。例如,处理室可具有唯一特征,所述唯一特征可在晶片的某些区域中影响损伤级别。此外,制造者可允许用于在晶片的一个或多个区域中的芯片/小片的更宽的极限值,以使产量最大化。映射应用和/或FDC系统可使用业务规则以确定一致性和/或损伤评估极限值。
当映射中的值(例如损伤评估映射)接近极限值时,信任值可能会低于当映射中的值未接近极限值的时候的值。此外,损伤评估值可以是加权的,以用于晶片的不同芯片/小片和/或不同区域。例如,自信更高的权重可被分配到与前述测量站点的一个或多个相关的计算和/或数据。
此外,与一个或多个处理相关联的处理结果、测量值、损伤评估值和/或预测映射可用于计算晶片的信任映射。例如,另一映射的值可用作加权因子。
来自于损伤评估过程的数据可用于改变测量和/或损伤评估规划并确定何时形成新的测量和/或损伤评估站点。此外,当晶片的一个或多个区域中信任值低时,或者当差错出现时,可建立一个或多个测量站点。而且,当信任映射上的值一直高于具体过程和/或当损伤评估值一直落入具体过程的可接受极限值内时,可建立新的测量规划,其使用数量较少的测量站点,并且缩短每个晶片的生产时间。
当处理序列正在形成和/或修改时,可为整个晶片或为具体区域(例如一个象限,Q1、Q2、Q3或Q4)或沿具体方向(例如径向)建立新的测量站点。例如,在一些处理室中,可更容易地沿径向测量、建模和/或修改处理结果。
半导体制造者可基于存储在历史数据库中的数据指定损伤评估规划。例如,半导体制造者在进行CDSEM测量时,可能已经在晶片上历史地选择了多个位置,并且将使得集成计量工具的测量数据与使用CDSEM工具测量的数据相关。其它制造者可使用TEM和/或FIB数据。
当需要新的和/或其它损伤评估计量数据时,可在晶片上的一个或多个站点处进行光学计量测量。例如,在损伤评估过程期间,在一个或多个测量站点处可测量晶片上的测量特征。损伤评估过程可能是消耗时间的,并且可能影响处理系统的生产。在过程运行期间,制造者可能希望最小化制造晶片所使用的时间量。损伤评估规划可以是基于情况的,并且基于晶片情况可选择不同的策略和/或规划。例如,通过使用包括在预处理测量规划中的测量站点的子集,可能一个或多个晶片不需要测量,和/或可进行损伤评估处理。
在一些情况中,用于整个晶片的数据可以在损伤评估过程期间计算。可选地,可对晶片的一部分计算和/或预测数据。例如,一部分可包括一个或多个放射状区域和/或象限。当不能确定损伤评估数据时,可声明差错状况。此外,当一个或多个测得值和/或计算的/预测到的值位于晶片所建立的损伤极限值之外时,可声称差错状况。在损伤评估过程期间产生的一些差错可被发送到FDC系统,并且FDC系统可决定处理系统应该如何响应该差错。其它差错可由测量和/或处理工具解决。
在损伤评估过程期间可计算和/或修改一个或多个后处理测量、预测和/或信任映射。所述映射可包括晶片的一个或多个区域。此外,制造者可允许在晶片的一个或多个区域中的芯片/小片具有更宽的极限值(损伤更多)。损伤评估应用和/或FDC系统可使用业务规则以确定受损电介质可接受的极限值。
在处理之前、期间和/或之后,可形成和/或修改不同类型的信任映射。第一类信任映射可提供测得数据中的信任的估计值。由于其花费太长时间去测量整个晶片,所以使用了较小数目的测量站点,并且必需建立信任因子以确保预测到的测得数据精确地表示了更多站点或晶片的更大部分用于进行测量时所获得的数据。
第二类信任映射可提供在双大马士革过程期间所执行的一个或多个过程中的信任的估计值。由于在已经处理后其花费太长时间去测量整个晶片,并且半导体制造者想要确保在双大马士革过程期间执行的所述一个或多个过程已经得到正确的执行,所以实际测得数据和/或预测到的测得数据可与期望值比较,并且当这些值在指定范围内时,即使并未检测整个晶片,半导体制造者也可假定双大马士革过程已经得到正确地执行。
第三类信任映射可提供在未损伤晶片的双大马士革过程期间所执行的一个或多个过程中的信任的估计值。由于在已经处理后其花费太长时间去测量整个晶片,并且半导体制造者想要确保在双大马士革过程期间执行的所述一个或多个过程未损伤晶片,所以实际测得数据和/或预测到的测得数据可与期望值比较,并且当这些值在指定范围内时,即使并未在整个晶片上执行损伤评估过程,半导体制造者也可假定双大马士革过程期间晶片未受损。
在任务1045中,可执行查询以确定何时晶片具有一个或多个受损区域。当具有一个或多个受损区域时,过程1000可分支到任务1070,并且当不存在一个或多个受损区域时,过程1000可分支到任务1080。
在任务1050中,可处理受损晶片。例如,当受损晶片在正常处理期间标识时,晶片可被传送到第一位置,其可以是保持位置。当损伤评估过程不标识受损晶片时,那么可继续晶片处理。
当受损晶片被标识时,可检查一个或多个晶片映射。可检查损伤评估映射以确定晶片上存在的损伤量。
在一个实施例中,当在一个评估站点进行损伤评估时,在该站点的数据将暗示该晶片是受损的。然后,可在其它评估站点重复所述损伤评估过程。当在一个或多个其它评估站点处的损伤评估数据表明受损晶片时,晶片可从处理序列中取下,并且可执行其它测量。
当在一个或多个其它评估站点处的损伤评估数据表明晶片未受损时,可通过使用第一测量站点来重新测量该晶片。当重新测得的评估数据再次表明晶片受损时,可从处理序列中取下该晶片,并且可进行其它测量和/或分析。此外,可建立差错状况,并且当检测到受损晶片时,可通知复杂该工具和/或处理的人员。
在一些实施例中,可对受损晶片进行处理,以修理、密封和/或清洁所述晶片。在Toma等人于2003年10月10日提交的名为“METHOD ANDSYSTEM FOR TREATING A DIELECTRIC FILM”的共同未决美国专利申请No.10/682,196中描述了用于处理受损晶片的技术,在Toma等人于2005年9月29日提交的名为“TREATMENT OF LOW DIELECTRICCONSTANT FILM USING A BATCH PROCESSING SYSTEM”的美国专利申请No.XXXXX中描述了用于处理受损晶片的批处理技术,在此通过引用引入其全文。
在其它实施例中,可通过使用超临界工艺来对受损晶片进行处理,并且在。Toma等人于2003年3月4日提交的名为“METHOD OFPASSIVATING OF LOW-k DIELECTRIC MATERIALS IN WAFERPROCESSING”的共同未决美国专利申请No.10/379,984中描述了通过使用超临界工艺用于处理晶片的技术,在Kevwitch等人于2005年2月18日提交的名为“METHOD AND SYSTEM FOR TREATING A DIELECTRICFILM”的美国专利申请No.11/060,352中描述了用于处理受损晶片的其它技术,在此通过引用引入其全文。
当已经对这些晶片进行处理后,可对这些晶片进行其它损伤评估过程,以验证晶片的受损区域是否已经正确地得到处理。
在一些实施例中,在晶片经历损伤评估过程之前,可通过使用上述技术对其进行处理。
库可扩展到包括“修理过的”测量结构的轮廓和模拟结果。当产生库时,一个或多个库产生标准可用于确定库的尺寸和/或结构。例如,库产生标准可包括尺寸数据、解析度数据、处理数据、构造数据和/或结构数据。
在库形成过程期间,一个或多个晶片可经历处理条件,所述处理条件设计为如图4A和5A所示的一个或多个受损区域,并且可执行损伤评估过程,以测量受损结构并定义期望的光学响应。然后,可通过使用其它测量工具进行其它测量,以验证在损伤评估过程期间所获得的结果。从而,可在处理序列中稍后执行电气测试,并且该数据可用于验证未受损和/或受损结果的电介质特性。
此外,具有受损区域的晶片可被清洁为去除受损材料。然后可进行测量,以获得该结构的未受损部分的更为精确的测量值,因为当受损材料去除时,可获得较为简单的衍射信号。
当损伤评估库正在形成时,可以从前面定义的站点组中选择损伤评估测量站点。例如,在用于计量工具的安装过程期间,测量可在40个以上的站点处进行,并且可使用这些站点中的一个或多个。可选地,可以不从前面定义的站点组中选择损伤评估测量站点。
当需要新的损伤评估测量站点时,可形成包括新的损伤评估计量的配方的控制策略。新配方可用于指示该计量工具已在一个或多个新站点处进行其它损伤评估测量。
在任务1080中,可执行查询以确定何时其它晶片需要处理。当进行处理时,可将多个晶片作为一个批次或一个批量来处理。当不需要进行其它晶片处理时,过程1000可分支到任务1060,当其它晶片需要处理时,过程1000可分支到任务1010。
过程1000可终止于1060。
回头参照图1,控制器120可使用输入材料(输入状态)的测量映射以及处理结果映射(期望状态)之间的差值,以预测、选择或计算处理参数组,从而实现将晶片状态从输入状态改变到期望状态的期望结果。例如,所预测的处理参数组可以是待使用的配方的第一估计值,以提供不会损伤晶片的电介质材料的均匀处理。此外,损伤评估数据、测量映射和/或处理结果映射可从MES130获得,并可用于更新第一估计值。
可通过使用反馈数据来更新损伤评估过程,所述反馈数据可通过运行监视器、测试和/或制造晶片、改变处理设定并观察结果,然后更新一个或多个不同应用而产生。例如,损伤评估更新可以每n个处理小时通过测量监视器晶片的前、后特性而进行。通过随时间而改变设定,以检查不同运行操作区域,整个操作空间可以随时间而有效或者立即运行几个具有不同配方设定的监视器晶片。损伤评估更新可在控制器120内、在处理工具处或在工厂处进行,允许工厂控制和/或管理监视器晶片和损伤评估更新。
控制器120可在处理序列中的一个或多个点处更新损伤评估过程。在一种情况下,控制器120可使用前馈信息、建模信息和反馈信息以确定是否在运行当前晶片之前、在运行下一晶片之前或在运行下一批次之前改变当前所使用的一个或多个损伤评估过程。
在本发明的另一方面,一个或多个控制器可用于执行回归优化过程。例如,控制器可接收一组测量值,并选择用于参数、参数角度和参数解的值。控制器可运行回归优化、产生回归结果、分析所产生的回归结果,并使用所产生回归结果以调节参数、范围和/或解。此外,所述结果可用于产生受损周期结构的库,并且标识受损周期结构。
当受损评估过程正在进行时,数据源和/或库可以是重要的,并且可以事先标识。例如,损伤评估过程可以是外部产生或内部产生的。外部产生的损伤评估过程和/或库可以由MES130提供。内部产生的损伤评估过程可从数据库获得和/或通过使用来自于GUI的输入产生。此外,可提供业务规则,其可用于确定何时使用外部产生或内部产生的过程和/或库。损伤评估过程和/或库在能够使用之前必需要进行评价和预先确定质量。例如,数据库和库可存储在与计算机相关联的计算机可读介质或内存中。而且,控制器还可以可选地汇聚所述库,如2000年7月28日提交的名为“CLUSTERING FOR DATA COMPRESSION”的美国专利申请No.09/927,531中所述,在此通过引用引入其全文。
尽管上面已经描述了本发明的仅仅某些实施例,本领域技术人员将容易理解,在实质上不偏离本发明的新颖的教导和优点的情况下,在实施例中可有许多改进。因此,所有这些改进应该被认为是包括在本发明的范围中。
从而,本说明书并不用于限制本发明,并且已经描述了本发明的构造、操作和行为,理解所述实施例的改进和变形是可行的,这里对其进行了详细的描述。因此,前述说明书绝不在任何方面限定本发明,相反,本发明的范围由所附权利要求限定。

Claims (26)

1.一种使用光学计量来测量半导体晶片上形成的受损结构的方法,该方法包括:
从受损周期结构获得测得的衍射信号;
定义该受损周期结构的假想轮廓,所述假想轮廓具有未受损部分和受损部分,所述未受损部分对应所述受损周期结构中第一材料的未受损区域,所述受损部分对应所述受损周期结构中第一材料的受损区域,并且其中所述未受损部分和受损部分具有与其相关联的不同特性;
使用所述假想轮廓来计算假想受损周期结构的模拟衍射信号;
比较所述测得的衍射信号和所述模拟衍射信号;以及
如果所述测得的衍射信号和所述模拟衍射信号在匹配判据下匹配,则基于用于计算所述模拟衍射信号的所述假想轮廓的受损部分,得到所述受损周期结构的损伤量。
2.如权利要求1所述的方法,其中,所述假想轮廓具有周期方向x、与所述周期方向x正交的基本上无限延伸的方向y以及与所述周期方向x和所述延伸方向正交的法向z,其中计算模拟衍射信号包括:
限定多个平行于x-y平面的假想轮廓的层,其中,至少三种材料位于所述假想轮廓的多个层中的至少一层中;
将所述假想轮廓的x-z平面截面离散化为多个堆叠的矩形部分;
对于由具有至少三种材料的所述假想轮廓的多个层中的至少一层组成的多个层的每一层,执行沿着周期方向x的介电常数ε的函数的谐波展开;
通过对于所述多个层的每一层使用介电常数ε的函数的谐波展开以及电场和磁场的傅立叶分量,设定所述多个层的每一层中傅立叶空间电磁方程;
基于所述层之间的边界条件,形成傅立叶电磁方程组;以及
通过对所述傅立叶电磁方程组求解计算所述模拟衍射谱。
3.如权利要求2所述的方法,其中,对于具有至少三种材料的假想轮廓中多个层中的至少一层,沿着周期方向x的介电常数ε的函数的谐波展开由零阶分量
&epsiv; 0 = &Sigma; k = 1 r n k 2 x k - 1 - x k D
和i阶谐波分量
&epsiv; i = &Sigma; k = 1 r jn k 2 i 2 &pi; [ ( cos ( 2 &pi;i D x k - 1 ) - cos ( 2 &pi;i D x k ) ) - j ( sin ( 2 &pi;i D x k - 1 ) - sin ( 2 &pi;i D x k ) ) ]
所限定,其中,D是所述受损周期结构的节距,nk是xk和xk-1处边界之间的材料的折射率,j是定义为-1的平方根的虚数,并且r是所述受损周期结构的每个节距内的材料的边界数目。
4.如权利要求2所述的方法,其中,所述多个层中的至少一层仅具有两种材料,并且所述两种材料是固态和非固态。
5.如权利要求1所述的方法,其中,所述受损周期结构是沿对应于周期方向x的方向具有临界尺寸的半导体测量结构,并且所述假想轮廓的受损部分包括沿着所述方向z的偏离、或者沿着y轴的偏离、或者沿着所述周期方向x的偏离、或者其两种或三种的组合形式。
6.如权利要求1所述的方法,其中,所述受损周期结构是具有由于处理差错带来的损伤的半导体测量结构。
7.如权利要求2所述的方法,其中,所述多个层中的最初一层包括气体区域,并且所述多个层中的最后一层包括衬底。
8.如权利要求1所述的方法,其中,所述模拟衍射信号的计算包括严格耦联波技术。
9.如权利要求1所述的方法,其中,所述未受损部分包括带侧壁损伤的沟槽、带顶部边缘损伤的沟槽、带底部角损伤的沟槽、带底部表面损伤的沟槽、带侧壁角度损伤的沟槽、带侧壁损伤的过孔、带顶部边缘损伤的过孔、带底部角损伤的过孔、带底部表面损伤的过孔、带侧壁角度损伤的过孔、或顶表面损伤、或其两种或多种的组合形式。
10.如权利要求9所述的方法,其中,所述受损部分包括受损低k电介质材料、或受损超低k电介质材料、或受损衬底材料、或其组合形式。
11.如权利要求1所述的方法,其中,所述模拟衍射信号从模拟衍射信号库获得。
12.如权利要求1所述的方法,还包括:
当所述测得的衍射信号和所述模拟衍射信号在匹配判据下不匹配时,获得新的模拟衍射信号以与所述测得的衍射信号相比较,其中,所述新的模拟衍射信号对应带有一种或多种不同特性的具有新的受损部分的新的假想轮廓。
13.如权利要求12所述的方法,其中,所述新的模拟衍射信号从模拟衍射信号库获得。
14.如权利要求12所述的方法,其中,通过使用所述新的假想轮廓、改变所述假想轮廓的高度、宽度、厚度、深度、体积、面积、电介质特性、处理配方参数、处理时间、临界尺寸、空间、时间、位置、或线宽、或其两种或多种的组合形式而计算所述新的模拟衍射信号。
15.如权利要求12所述的方法,还包括:
比较所述测得的衍射信号和所述新的模拟衍射信号;
当所述测得的衍射信号和所述模拟衍射信号在匹配判据下匹配时,形成与所述新的假想轮廓的新的受损部分相对应的所述受损周期结构的损伤量;以及
当所述测得的衍射信号和所述模拟衍射信号在匹配判据下不匹配时,继续确定新的模拟衍射信号,直到所述测得的衍射信号和所述新的模拟衍射信号在匹配判据下匹配,或者直到所述测得的衍射信号和所述新的模拟衍射信号之间匹配的差值大于极限值。
16.如权利要求15所述的方法,还包括:
当所述测得的衍射信号和所述模拟衍射信号在匹配判据下匹配时,存储所述新的模拟衍射信号、所述新的假想轮廓和所述新的受损部分。
17.一种产生与受损周期结构的电磁辐射的衍射相关联的衍射反射率的方法,该方法包括:
定义该受损周期结构的假想轮廓,该假想轮廓具有未受损部分和受损部分,所述未受损部分对应所述受损周期结构中第一材料的未受损区域,所述受损部分对应所述受损周期结构中第一材料的受损区域,并且其中所述未受损部分和受损部分具有与其相关联的不同特性;
将所述受损周期结构的所述假想轮廓分成多个假想层,其中,每个假想层包括第一材料、或第二材料、或受损电介质材料、或未受损电介质材料、或其两种或多种的组合形式,其中,所述假想层中的至少一层包括第一材料、受损电介质材料和未受损电介质材料;
产生假想层数据组,每个假想层数据组对应于所述多个假想层的单独一个;以及
处理所产生的假想层数据组以产生通过反射所述受损周期结构的电磁辐射将会出现的衍射反射率。
18.如权利要求17所述的方法,还包括:
将所述假想层分成多个片,每个片与具有所述第一材料、所述第二材料、所述未受损材料和所述受损材料中至少之一的所述多个层的其中之一的截面。
19.如权利要求17所述的方法,其中,所述假想轮廓沿对应所述受损周期结构的周期方向的方向被分成多个假想轮廓。
20.如权利要求17所述的方法,其中,所述产生假想层数据组的步骤包括:沿所述受损周期结构的周期方向展开一维傅立叶变换中假想层的实空间介电常数的函数和实空间逆介电常数的函数二者中的至少之一,以提供所述假想层的实空间介电常数的函数和实空间逆介电常数的函数二者中的至少之一。
21.如权利要求17所述的方法,其中,所述产生假想层数据组的步骤包括计算下列数据的至少之一:
介电常数特性,其包括每个所述假想层的介电常数εl(x)的函数、介电常数εl(x)的函数的谐波分量εl,i和介电常数谐波矩阵[El];和
逆介电常数特性,其包括每个所述假想层的逆介电常数∏l(x)的函数、逆介电常数∏l(x)的函数的谐波分量∏l,i和逆介电常数谐波矩阵[Pl]。
22.如权利要求17所述的方法,其中,所述处理所产生的假想层数据组的步骤包括:
通过组合具有介电常数谐波矩阵[El]和逆介电常数谐波矩阵[Pl]中的至少之一的每个假想层的电场的一系列展开式来计算波动矢量矩阵[Al];和
计算波动矢量矩阵[Al]的第m阶特征向量的第i个条目wl,i,m和波动矢量矩阵[Al]的第m阶特征值,以形成特征向量矩阵[Wl]和根特征值矩阵[Ql]。
23.如权利要求17所述的方法,其中,所述产生假想层数据组的步骤包括:展开一维傅立叶变换中包括第一材料、或第二材料、或未受损材料、或受损材料、或其两种或多种材料的组合的受损假想层中的至少之一的介电常数εl(x)的函数和逆介电常数∏l(x)=1/εl(x)的函数中的至少之一,沿着所述受损周期结构的周期方向的展开式根据下列之一:
&epsiv; l ( x ) = &Sigma; i = - &infin; &infin; &epsiv; l , i exp ( j 2 &pi;i D x )
其中
&epsiv; l , i = &Sigma; k = 1 r n k 2 - ji 2 &pi; [ ( cos ( 2 i&pi; D x k ) - cos ( 2 &pi;i D x k - 1 ) )
- j ( sin ( 2 i&pi; D x k ) - sin ( 2 i&pi; D x k - 1 ) ) ]
以及
&pi; l ( x ) = &Sigma; i = - &infin; &infin; &pi; l , i exp ( j 2 &pi;i D x )
其中
&pi; l , i = &Sigma; k = 1 r n k - 2 - ji 2 &pi; [ ( cos ( 2 i&pi; D x k ) - cos ( 2 &pi;i D x k - 1 ) )
- j ( sin ( 2 i&pi; D x k ) - sin ( 2 i&pi; D x k - 1 ) ) ]
其中,D是所述受损周期结构的节距,nk是xk和xk-1处边界之间的材料的折射率,j是定义为-1的平方根的虚数,并且r是所述受损周期结构的每个节距内的材料的边界数目。
24.如权利要求17所述的方法,其中,所述处理所产生的假想层数据组的步骤包括:
从与所述假想层对应的中间数据构造矩阵方程;
求解所构造的矩阵方程以确定每个谐波阶i的衍射反射率值Rl
25.一种使用光学计量来测量在半导体晶片上形成的受损结构的系统,该系统包括:
集成计量模块,其配置为从受损周期结构获得测得的衍射信号;和
控制器,其连接到所述集成计量模块,配置为将所述测得的衍射信号与模拟衍射信号相比较,以在所述测得的衍射信号和所述模拟衍射信号在匹配判据下匹配时,产生所述受损周期结构的受损量,其中,基于所述受损周期结构的假想轮廓计算所述模拟衍射信号,所述假想轮廓具有未受损部分和受损部分,所述未受损部分对应所述受损周期结构中第一材料的未受损区域,所述受损部分对应所述受损周期结构中第一材料的受损区域,并且其中所述未受损部分和受损部分具有与其相关联的不同特性,并且,基于用于计算所述模拟衍射信号的所述假想轮廓的所述受损部分产生所述受损量。
26.如权利要求25所述的系统,其中,所述假想轮廓具有周期方向x、与所述周期方向x正交的基本上无限延伸的方向y以及与所述周期方向x和所述延伸方向正交的法向z,其中,限定多个平行于x-y平面的假想轮廓的层;至少三种材料位于所述假想轮廓中的多个层中的至少之一中,将所述假想轮廓的x-z平面截面离散化为多个堆叠的矩形部分;对于由具有至少三种材料的所述假想轮廓的多个层中的至少一层组成的多个层的每一层,执行沿着周期方向x的介电常数ε的函数的谐波展开;通过对于所述多个层的每一层使用介电常数ε的函数的谐波展开以及电场和磁场的傅立叶分量,设定所述多个层的每一层中傅立叶空间电磁方程;基于所述层之间的边界条件,形成傅立叶电磁方程组;以及,通过对所述傅立叶电磁方程组求解计算所述模拟衍射信号。
CN2007800123940A 2006-03-30 2007-03-29 使用光学计量来测量晶片上形成的受损结构 Expired - Fee Related CN101416043B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/396,214 2006-03-30
US11/396,214 US7324193B2 (en) 2006-03-30 2006-03-30 Measuring a damaged structure formed on a wafer using optical metrology
PCT/US2007/008264 WO2007117434A2 (en) 2006-03-30 2007-03-29 Measuring a damaged structure formed on a wafer using optical metrology

Publications (2)

Publication Number Publication Date
CN101416043A CN101416043A (zh) 2009-04-22
CN101416043B true CN101416043B (zh) 2011-01-26

Family

ID=38558385

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800123940A Expired - Fee Related CN101416043B (zh) 2006-03-30 2007-03-29 使用光学计量来测量晶片上形成的受损结构

Country Status (6)

Country Link
US (2) US7324193B2 (zh)
JP (1) JP5137942B2 (zh)
KR (1) KR101281264B1 (zh)
CN (1) CN101416043B (zh)
TW (1) TWI342595B (zh)
WO (1) WO2007117434A2 (zh)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7952511B1 (en) 1999-04-07 2011-05-31 Geer James L Method and apparatus for the detection of objects using electromagnetic wave attenuation patterns
US20100036844A1 (en) * 2004-10-21 2010-02-11 Access Co., Ltd. System and method of using conflicts to maximize concurrency in a database
US7619731B2 (en) * 2006-03-30 2009-11-17 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7324193B2 (en) * 2006-03-30 2008-01-29 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7444196B2 (en) * 2006-04-21 2008-10-28 Timbre Technologies, Inc. Optimized characterization of wafers structures for optical metrology
US7875851B1 (en) * 2006-05-01 2011-01-25 Advanced Micro Devices, Inc. Advanced process control framework using two-dimensional image analysis
TWI315054B (en) * 2006-05-10 2009-09-21 Nat Cheng Kung Universit Method for evaluating reliance level of a virtual metrology system in product manufacturing
US8233155B2 (en) 2006-10-13 2012-07-31 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
TWI338916B (en) * 2007-06-08 2011-03-11 Univ Nat Cheng Kung Dual-phase virtual metrology method
JP4468422B2 (ja) * 2007-08-09 2010-05-26 株式会社東芝 カーテシアンループを用いた無線送信装置
US7756677B1 (en) * 2007-08-28 2010-07-13 N&K Technology, Inc. Implementation of rigorous coupled wave analysis having improved efficiency for characterization
US8055455B2 (en) * 2008-06-09 2011-11-08 The Boeing Company Decomposition mode matching change index
US8694269B2 (en) * 2008-08-11 2014-04-08 The Boeing Company Reducing the ringing of actuator elements in ultrasound based health monitoring systems
US8412470B2 (en) * 2008-08-11 2013-04-02 The Boeing Company Change mapping for structural health monitoring
TWI412906B (zh) * 2010-04-13 2013-10-21 Univ Nat Cheng Kung 具有虛擬量測功能的製造執行系統與製造系統
MY186210A (en) 2010-07-23 2021-06-30 First Solar Inc In-line metrology system and method
DE102010038736A1 (de) * 2010-07-30 2012-02-02 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zum Steuern der kritischen Abmessungen von Gräben in einem Metallisierungssystem eines Halbleiterbauelements während des Ätzens einer Ätzstoppschicht
DE102010038740B4 (de) * 2010-07-30 2019-08-14 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Steuern kritischer Abmessungen von Kontaktdurchführungen in einem Metallisierungssystem eines Halbleiterbauelements während der Ätzung einer Si-Antireflektierungsschicht
US8818754B2 (en) * 2011-04-22 2014-08-26 Nanometrics Incorporated Thin films and surface topography measurement using reduced library
US9404743B2 (en) * 2012-11-01 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for validating measurement data
US11175589B2 (en) * 2013-06-03 2021-11-16 Kla Corporation Automatic wavelength or angle pruning for optical metrology
CN111043958B (zh) 2013-06-27 2021-11-16 科磊股份有限公司 计量学目标的极化测量及对应的目标设计
JP6239294B2 (ja) * 2013-07-18 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の運転方法
US9719920B2 (en) * 2013-07-18 2017-08-01 Kla-Tencor Corporation Scatterometry system and method for generating non-overlapping and non-truncated diffraction images
US10955359B2 (en) * 2013-11-12 2021-03-23 International Business Machines Corporation Method for quantification of process non uniformity using model-based metrology
US9171765B2 (en) * 2014-02-21 2015-10-27 Globalfoundries Inc. Inline residual layer detection and characterization post via post etch using CD-SEM
US10096712B2 (en) 2015-10-20 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming and monitoring quality of the same
CN106197522B (zh) * 2016-06-29 2018-11-20 成都金本华电子有限公司 一种基于双数据通路的装备故障诊断方法
US10458912B2 (en) * 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
WO2018217232A1 (en) * 2017-05-22 2018-11-29 Kla-Tencor Corporation Zonal analysis for recipe optimization and measurement
FR3074949B1 (fr) * 2017-12-11 2019-12-20 Electricite De France Procede, dispositif et programme de traitement d'images de diffraction d'un materiau cristallin
US10670393B1 (en) 2018-11-30 2020-06-02 Applied Materials, Inc. Construction of three-dimensional profiles of high aspect ratio structures using top down imaging
KR102611986B1 (ko) * 2018-12-19 2023-12-08 삼성전자주식회사 반도체 소자의 형상 예측 방법
US20220139717A1 (en) * 2019-02-25 2022-05-05 Board Of Regents, The University Of Texas System Large area metrology and process control for anisotropic chemical etching
KR102429079B1 (ko) 2019-12-23 2022-08-03 주식회사 히타치하이테크 플라스마 처리 방법 및 플라스마 처리에 이용하는 파장 선택 방법
EP4165682A1 (en) * 2020-06-12 2023-04-19 The Government of the United States of America, as represented by the Secretary of the Navy Surface profile mapping for evaluating iii-n device performance and yield
CN112578646B (zh) * 2020-12-11 2022-10-14 上海集成电路装备材料产业创新中心有限公司 一种基于图像的离线的光刻工艺稳定性控制方法
US20240112961A1 (en) * 2021-01-26 2024-04-04 Lam Research Corporation Matching pre-processing and post-processing substrate samples
KR102557527B1 (ko) * 2021-04-13 2023-07-21 베러티 인스트루먼트, 인코퍼레이티드 스펙트럼 필터링 시스템, 장치 및 방법
CN113777109A (zh) * 2021-08-25 2021-12-10 深圳市青虹激光科技有限公司 一种工件检测方法、系统、设备和存储介质
CN114253135B (zh) * 2021-12-13 2024-03-26 深圳智现未来工业软件有限公司 基于机器学习的芯片性能参数测试方法和装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6384909B2 (en) * 1997-11-28 2002-05-07 Hitachi, Ltd. Defect inspection method and apparatus for silicon wafer
US6661515B2 (en) * 1993-06-17 2003-12-09 Kla-Tencor Corporation Method for characterizing defects on semiconductor wafers
US6829559B2 (en) * 2000-09-20 2004-12-07 K.L.A.-Tencor Technologies Methods and systems for determining a presence of macro and micro defects on a specimen
CN1619288A (zh) * 2003-09-15 2005-05-25 音质技术公司 使用抽样衍射信号选择一个假想剖面用于光学计量

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5903342A (en) * 1995-04-10 1999-05-11 Hitachi Electronics Engineering, Co., Ltd. Inspection method and device of wafer surface
US5966459A (en) * 1997-07-17 1999-10-12 Advanced Micro Devices, Inc. Automatic defect classification (ADC) reclassification engine
JP3534582B2 (ja) * 1997-10-02 2004-06-07 株式会社日立製作所 パターン欠陥検査方法および検査装置
EP1257781A4 (en) 2000-01-26 2006-12-13 Timbre Tech Inc USE OF A MEMORY IN IN-LINE LAYER CALCULATIONS FOR QUICK RIGOROUS ANALYSIS OF COUPLED WAVES
US6694275B1 (en) 2000-06-02 2004-02-17 Timbre Technologies, Inc. Profiler business model
US6943900B2 (en) * 2000-09-15 2005-09-13 Timbre Technologies, Inc. Generation of a library of periodic grating diffraction signals
US6673637B2 (en) * 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US7099005B1 (en) * 2000-09-27 2006-08-29 Kla-Tencor Technologies Corporation System for scatterometric measurements and applications
US6768983B1 (en) 2000-11-28 2004-07-27 Timbre Technologies, Inc. System and method for real-time library generation of grating profiles
US6591405B1 (en) 2000-11-28 2003-07-08 Timbre Technologies, Inc. Clustering for data compression
US6898899B2 (en) * 2000-12-08 2005-05-31 Wanda M. Weder Floral container with accordion folded upper portion
EP1258915A1 (en) * 2001-05-17 2002-11-20 Infineon Technologies SC300 GmbH & Co. KG Method of detecting defects on a semiconductor device in a processing tool and an arrangement therefore
US6785638B2 (en) 2001-08-06 2004-08-31 Timbre Technologies, Inc. Method and system of dynamic learning through a regression-based library generation process
US6743646B2 (en) 2001-10-22 2004-06-01 Timbre Technologies, Inc. Balancing planarization of layers and the effect of underlying structure on the metrology signal
US6608690B2 (en) 2001-12-04 2003-08-19 Timbre Technologies, Inc. Optical profilometry of additional-material deviations in a periodic grating
AU2003220039A1 (en) 2002-03-04 2003-09-22 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
US6989899B2 (en) * 2002-03-18 2006-01-24 Therma-Wave, Inc. Ion implant monitoring through measurement of modulated optical response
US6853942B2 (en) * 2002-03-26 2005-02-08 Timbre Technologies, Inc. Metrology hardware adaptation with universal library
US6804005B2 (en) 2002-05-02 2004-10-12 Timbre Technologies, Inc. Overlay measurements using zero-order cross polarization measurements
US7092110B2 (en) * 2002-07-25 2006-08-15 Timbre Technologies, Inc. Optimized model and parameter selection for optical metrology
US7330279B2 (en) 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
US20040181304A1 (en) * 2002-12-11 2004-09-16 Collier Terence Quintin Tool and fixture for measuring mechanical and electromechanical properties for IC assemblies and features
US7072049B2 (en) 2003-02-03 2006-07-04 Timbre Technologies, Inc. Model optimization for structures with additional materials
US7046375B2 (en) 2003-05-02 2006-05-16 Timbre Technologies, Inc. Edge roughness measurement in optical metrology
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
US7553769B2 (en) 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US7345000B2 (en) 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
TWI231557B (en) * 2004-05-10 2005-04-21 Powerchip Semiconductor Corp Method of defect inspection
US7235414B1 (en) * 2005-03-01 2007-06-26 Advanced Micro Devices, Inc. Using scatterometry to verify contact hole opening during tapered bilayer etch
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7502709B2 (en) * 2006-03-28 2009-03-10 Tokyo Electron, Ltd. Dynamic metrology sampling for a dual damascene process
US7623978B2 (en) * 2006-03-30 2009-11-24 Tokyo Electron Limited Damage assessment of a wafer using optical metrology
US7619731B2 (en) * 2006-03-30 2009-11-17 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7324193B2 (en) * 2006-03-30 2008-01-29 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7576851B2 (en) * 2006-03-30 2009-08-18 Tokyo Electron Limited Creating a library for measuring a damaged structure formed on a wafer using optical metrology
US7763404B2 (en) * 2006-09-26 2010-07-27 Tokyo Electron Limited Methods and apparatus for changing the optical properties of resists

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6661515B2 (en) * 1993-06-17 2003-12-09 Kla-Tencor Corporation Method for characterizing defects on semiconductor wafers
US6384909B2 (en) * 1997-11-28 2002-05-07 Hitachi, Ltd. Defect inspection method and apparatus for silicon wafer
US6829559B2 (en) * 2000-09-20 2004-12-07 K.L.A.-Tencor Technologies Methods and systems for determining a presence of macro and micro defects on a specimen
CN1619288A (zh) * 2003-09-15 2005-05-25 音质技术公司 使用抽样衍射信号选择一个假想剖面用于光学计量

Also Published As

Publication number Publication date
KR20090005122A (ko) 2009-01-12
TWI342595B (en) 2011-05-21
US20070229807A1 (en) 2007-10-04
TW200737389A (en) 2007-10-01
WO2007117434A2 (en) 2007-10-18
WO2007117434A3 (en) 2008-04-24
CN101416043A (zh) 2009-04-22
JP2009532869A (ja) 2009-09-10
KR101281264B1 (ko) 2013-07-03
JP5137942B2 (ja) 2013-02-06
US7324193B2 (en) 2008-01-29
US20080137078A1 (en) 2008-06-12

Similar Documents

Publication Publication Date Title
CN101416043B (zh) 使用光学计量来测量晶片上形成的受损结构
US7576851B2 (en) Creating a library for measuring a damaged structure formed on a wafer using optical metrology
US7623978B2 (en) Damage assessment of a wafer using optical metrology
US7619731B2 (en) Measuring a damaged structure formed on a wafer using optical metrology
TWI669500B (zh) 基於代理結構之量測之信號回應度量
US9255877B2 (en) Metrology system optimization for parameter tracking
KR101723688B1 (ko) 마이크로 브리징 및 러프니스 분석
CN101410844B (zh) 用晶片均匀性控制进行动态计量采样
CN101542280B (zh) 用多元分析对来自半导体处理系统的计量数据进行变形
CN101393881B (zh) 检查形成在半导体晶片上的结构的系统和方法
US7673278B2 (en) Enhanced process yield using a hot-spot library
US20130110477A1 (en) Process variation-based model optimization for metrology
KR20160015299A (ko) 광학적 계측을 위한 자동 파장 또는 각도 프루닝
KR101357326B1 (ko) 패턴화 구조 검사 시스템
US8069020B2 (en) Generating simulated diffraction signal using a dispersion function relating process parameter to dispersion
KR101461667B1 (ko) 패턴화 구조 검사 장치 및 계측 데이터 관리 방법
US7469192B2 (en) Parallel profile determination for an optical metrology system
US7515283B2 (en) Parallel profile determination in optical metrology

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110126

Termination date: 20170329