CN101142656A - 用于处理衬底的组合工具架构 - Google Patents

用于处理衬底的组合工具架构 Download PDF

Info

Publication number
CN101142656A
CN101142656A CNA200580048568XA CN200580048568A CN101142656A CN 101142656 A CN101142656 A CN 101142656A CN A200580048568X A CNA200580048568X A CN A200580048568XA CN 200580048568 A CN200580048568 A CN 200580048568A CN 101142656 A CN101142656 A CN 101142656A
Authority
CN
China
Prior art keywords
substrate
process chamber
manipulator
frame
robot blade
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA200580048568XA
Other languages
English (en)
Inventor
石川徹夜
里克·J·罗伯茨
海伦·R·阿默
利昂·沃弗斯盖
杰伊·D·皮森
迈克尔·瑞斯
大卫·H·喀什
莫森·S·萨利克
罗伯特·劳伦斯
约翰·A·贝克尔
威廉·泰勒·威维尔
查尔斯·卡尔森
重阳·王
杰弗里·哈德更斯
哈拉德·赫亨
布赖恩·鲁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Screen Semiconductor Solutions Co Ltd
Applied Materials Inc
Original Assignee
Screen Semiconductor Solutions Co Ltd
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Screen Semiconductor Solutions Co Ltd, Applied Materials Inc filed Critical Screen Semiconductor Solutions Co Ltd
Publication of CN101142656A publication Critical patent/CN101142656A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03BAPPARATUS OR ARRANGEMENTS FOR TAKING PHOTOGRAPHS OR FOR PROJECTING OR VIEWING THEM; APPARATUS OR ARRANGEMENTS EMPLOYING ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ACCESSORIES THEREFOR
    • G03B27/00Photographic printing apparatus
    • G03B27/32Projection printing apparatus, e.g. enlarger, copying camera
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03DAPPARATUS FOR PROCESSING EXPOSED PHOTOGRAPHIC MATERIALS; ACCESSORIES THEREFOR
    • G03D13/00Processing apparatus or accessories therefor, not covered by groups G11B3/00 - G11B11/00
    • G03D13/006Temperature control of the developer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41815Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by the cooperation between machine tools, manipulators and conveyor or other workpiece supply system, workcell
    • G05B19/41825Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by the cooperation between machine tools, manipulators and conveyor or other workpiece supply system, workcell machine tools and manipulators only, machining centre
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/40Robotics, robotics mapping to robotics vision
    • G05B2219/40476Collision, planning for collision free path
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/49Nc machine tool, till multiple
    • G05B2219/49137Store working envelop, limit, allowed zone
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • Y10T29/53187Multiple station assembly apparatus
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device
    • Y10T29/532Conductor
    • Y10T29/53209Terminal or connector
    • Y10T29/53213Assembled to wire-type conductor
    • Y10T29/53222Means comprising hand-manipulatable implement
    • Y10T29/5323Fastening by elastic joining

Abstract

本发明的实施例大体上提供了使用多室处理系统(例如组合工具)处理衬底的装置和方法,所述多室处理系统具有更大吞吐量、更高可靠性,组合工具中处理过的衬底具有更具重复性的晶片历史,还具有较小的系统占地。在组合工具的一种实施例中,通过将衬底分组到一起并将衬底以两个或更多个为一组进行传送和处理以提高系统吞吐量,降低了拥有成本,并减少了机械手将一批衬底在处理室之间进行传送所需的运动次数,从而减小了机械手的磨损并提高了系统可靠性。这些实施例还提供了用于提高衬底传送处理的可靠性以减少系统不可用时间的方法和装置。

Description

用于处理衬底的组合工具架构
技术领域
本发明的实施例大体上涉及一种集成处理系统,它包括多个处理台和机械手,能够对多个衬底进行并行处理。
背景技术
形成电子器件的处理通常是在受控处理环境中在多室处理系统(例如组合工具)中进行的,所述多室处理系统具有依次处理衬底(例如半导体晶片)的能力。用来对光刻胶材料进行沉积(例如涂敷)和显影的、常称为匀胶显影(track)光刻工具的典型组合工具包括主框架,主框架容纳了至少一个衬底传送机械手,衬底传送机械手在晶舟/晶盒(pod/cassette)安装装置与连接到主框架的多个处理室之间传送衬底。常用组合工具来使得可以在受控处理环境中以可重复的方式处理衬底。受控处理环境具有许多优点,包括使传送和完成各种衬底处理步骤的过程中衬底表面的污染减小。因此,在受控环境中的处理降低了所产生的缺陷数目并提高了器件成品率。
衬底制造处理的效率常常通过两个有关的重要因素来衡量,这两个因素是器件成品率和总体拥有成本(CoO)。这些因素重要是因为它们直接影响到电子器件的生产成本并从而影响到器件制造商在市场中的竞争力。尽管有多个因素会影响CoO,但CoO受到系统和室吞吐量的影响很大,简单说来,吞吐量是使用所需处理序列每小时处理的衬底数目。处理序列通常定义为在组合工具中一个或多个处理室中完成的一系列器件制造步骤或处理配方(process recipe)步骤。处理序列可以大体上包含不同的衬底(或晶片)电子器件制造处理步骤。在努力降低CoO时,电子器件制造商经常花费大量时间试图对处理序列和室处理时间进行优化,以便在给定组合工具架构(architecture)限制和室处理时间的情况下获得尽可能最大的衬底吞吐量。在匀胶显影式组合工具中,由于室处理时间容易特别短(例如约一分钟时间完成处理),并且完成通常处理序列所需的处理步骤数目较大,所以完成处理序列所花费的很大一部分时间被用来在各个处理室之间输送衬底。通常的匀胶显影光刻处理序列大体上会包括下列步骤:在衬底表面上沉积一个或多个均匀的光刻胶(或抵抗)层,然后将衬底传送出组合工具,到达单独的步进机(stepper)或扫描机(scanner),通过将光刻胶层暴露于使光刻胶改性的电磁辐射来对衬底表面进行图案化,然后对经过图案化的光刻胶层进行显影。如果组合工具中的衬底吞吐量不受机械手限制,则最长的处理配方步骤通常会限制处理序列的吞吐量。由于处理时间较短而处理步骤较多,匀胶显影光刻处理序列中的情况通常不是这样。对于传统的制造处理(例如运行通常处理的匀胶显影光刻工具),通常的系统吞吐量会在每小时100-120个衬底之间。
CoO计算中的其他重要因素有系统可靠性和系统可用时间(uptime)。这些因素对于组合工具的盈利能力和/或有效性很重要,因为系统不能对衬底进行处理的时间越长,用户由于失去了在组合工具中处理衬底的机会而损失的金钱就越多。因此,组合工具的用户和制造商花费了大量时间试图开发出具有更长可用时间的可靠的处理、可靠的硬件和可靠的系统。
产业中减小半导体器件尺寸以提高器件处理速度并降低器件所产生热量的推动力已经使产业中对处理变动的容限逐渐减小。由于半导体器件的尺寸减小以及不断提高的器件性能需求,器件制造处理中均匀性和可重复性的允许变动量已经大大减小。为了使处理变动减至最小,匀胶显影光刻处理序列中一个重要因素是确保经过组合工具的每个衬底具有相同的“晶片历史”。通常由工艺工程师来监视和控制衬底的晶片历史,以确保此后可能影响器件性能的所有器件制造处理变动都得到控制,从而使同一批次的所有衬底总是以相同方式得到处理。要确保每个衬底都具有相同的“晶片历史”,需要使每个衬底经历相同的、可重复的衬底处理步骤(例如一致的涂敷处理、一致的硬烤(hard bake)处理、一致的冷却(chill)处理等),并且各个处理步骤之间的时间对于每个衬底是相同的。光刻类型的器件制造处理可能对处理配方变量的变动以及配方步骤之间的时间变动特别敏感,这会直接影响处理的可变性并最终影响器件性能。因此,就需要一种组合工具和辅助设备,其能够执行使处理变动和处理步骤之间时间变动减至最小的处理序列。另外,还需要一种组合工具和辅助设备,其能够执行的器件制造处理会造成均匀的、可重复的处理结果,同时还可以实现期望的衬底吞吐量。
因此,需要一种系统、方法和设备,其能够对衬底进行处理,使之能够满足所需的器件性能目标并提高系统吞吐量,从而减小处理序列的CoO。
发明内容
本发明大体上提供了一种组合工具,该机器包含多个处理台和机械手,能够对多个衬底进行并行处理。用于处理衬底的组合工具包括:第一衬底处理室;第二衬底处理室,其中,第二衬底处理室与第一衬底处理室间隔固定垂直距离;第三衬底处理室;第四衬底处理室,其中,第四衬底处理室与第三衬底处理室间隔固定垂直距离;第一机械手组件,用于访问第一衬底处理室和第二衬底处理室;以及第二机械手组件,用于从第一衬底处理室接收一个或多个衬底并基本同时从第二衬底处理室接收一个或多个衬底,然后将来自第一衬底处理室的一个或多个衬底放在第三衬底处理室中并基本同时将来自第二衬底处理室的一个或多个衬底放在第四衬底处理室中。
本发明的实施例还提供了一种用于处理衬底的组合工具,包括:第一处理架,具有多个垂直堆叠的衬底处理室;第二处理架,具有多个垂直堆叠的衬底处理室;第一机械手托板组件,包括:第一机械手托板;和第一机械手托板致动器;第二机械手托板组件,包括:第二机械手托板;第二机械手托板致动器;其中,第一机械手托板组件和第二机械手托板组件的垂直位置分开固定距离,并可以用第一机械手托板致动器或第二机械手托板致动器对第一机械手托板组件和第二机械手托板组件分别进行水平定位;和6轴多关节型机械手,连接到第一机械手托板组件和第二机械手托板组件,其中,第一机械手托板组件和第二机械手托板组件间隔固定距离,并且6轴多关节型机械手的协调运动适于基本同时访问位于第一处理架中两个垂直堆叠的衬底处理室中的衬底或基本同时访问位于第二处理架中两个垂直堆叠的衬底处理室中的衬底。
本发明的实施例还提供了一种用于处理衬底的组合工具,包括:晶盒,适于容纳两个或更多个衬底;第一模块,包括:第一处理架,包括在垂直方向上堆叠的两个或更多个处理室;第二模块,包括:第二处理架,包括在垂直方向上堆叠的两个或更多个处理室;第一机械手组件,适于访问衬底,所述衬底位于每个第一处理架、第二处理架的至少一个衬底处理室中和晶盒中;以及第二机械手组件,包括:机械手;连接到机械手的第一机械手托板;和连接到机械手、且位置与第一机械手托板间隔固定距离的第二机械手托板;其中,第二机械手适于访问衬底,所述衬底位于每个第一处理架和第二处理架的至少一个处理室中,并且第一机械手托板和第二机械手托板适于基本同时对每个第一处理架和第二处理架中至少两个衬底处理室中的衬底进行传送、拾取和/或放下。
本发明的实施例还提供了一种用于处理衬底的组合工具,包括:第一处理架,包含衬底处理室的第一垂直堆叠;第一机械手,适于将衬底传送到第一处理架中的衬底处理室;第二处理架,包含衬底处理室的第一垂直堆叠;第二机械手,适于将衬底在第一处理架中的衬底处理室与第二处理架中的衬底处理室之间进行传送;控制器,适于对用第一机械手或第二机械手使衬底在第一处理架和第二处理架中进行的运动进行优化;和存储器,耦合到控制器,所述存储器包括计算机可读介质,该计算机可读介质包括嵌入其中的计算机可读程序,用于对组合工具的操作进行指挥,所述计算机可读程序包括:控制第一机械手和第二机械手运动的计算机指令,包括:将用于第一机械手和第二机械手的一个或多个命令任务储存在存储器中;检查保持在存储器中的用于第一机械手的命令任务;检查保持在存储器中的用于第二机械手的命令任务;将命令任务从第一机械手移动到第二机械手,或从第二机械手移动到第一机械手,以使每个机械手的可用性得到平衡。
本发明的实施例还提供了一种用于处理衬底的组合工具,包括:晶盒,适于容纳两个或更多个衬底;第一处理架,包含衬底处理室的垂直堆叠,并具有沿第一方向延伸的第一侧,以经过第一侧访问衬底处理室;第二处理架,包含衬底处理室的垂直堆叠,并具有沿第二方向延伸的第二侧,以经过第二侧访问衬底处理室,其中,第一侧和第二侧间隔一段距离;第一机械手,具有基座,所述基座处于第二处理架的第一侧与第一处理架的第一侧之间的固定位置,其中,第一机械手适于将衬底传送到第一处理架、第二处理架中的衬底处理室和晶盒;第三处理架,包含衬底处理室的垂直堆叠,并具有沿第三方向延伸的第三侧,以经过第三侧访问衬底处理室;第四处理架,包含衬底处理室的垂直堆叠,并具有沿第四方向延伸的第四侧,以经过第四侧访问衬底处理室,其中,第三侧和第四侧间隔一段距离;和第二机械手组件,包括:机械手,具有基座,所述基座处于第三处理架的第三侧与第四处理架的第四侧之间的固定位置;第一机械手托板,连接到机械手;和第二机械手托板,连接到机械手,且位置与第一机械手托板间隔固定距离;其中,第一机械手托板和第二机械手托板适于基本同时将衬底传送到第一处理架、第二处理架、第三处理架和第四处理架中的两个处理室。
本发明的实施例还提供了一种用于处理衬底的组合工具,包括:晶盒,适于容纳两个或更多个衬底;第一处理室,适于对衬底进行第一处理;第二处理室,适于对衬底进行第二处理,其中,第一处理室和第二处理室大体上彼此邻近;流体分配装置,适于与位于第一处理室中的第一衬底和位于第二处理室中的第二衬底流体连通,其中,流体分配装置包括:流体源;喷嘴,与流体源流体连通;流体输送装置,适于将流体从流体源输送到喷嘴;可动隔板,适于将第一处理室与第二处理室隔离开;和机械手,适于将衬底在晶盒、第一处理室和第二处理室之间进行传送。
本发明的实施例还提供了一种用于处理衬底的组合工具,包括:第一处理架,包括:第一处理模块,包括:第一处理室,适于对衬底执行第一处理;第二处理室,适于对衬底执行第二处理,其中,第一处理室和第二处理室基本彼此邻近;流体分配装置,适于与正在第一处理室和第二处理室中处理的衬底流体连通,其中,所述流体分配装置包括:流体源;喷嘴,与流体源流体连通;流体输送装置,适于将流体从流体源输送到喷嘴;和可动隔板,适于将第一处理室与第二处理室隔离开;第二处理模块,包括:第三处理室,适于对衬底执行第一处理;第四处理室,适于对衬底执行第二处理,其中,第一处理室和第二处理室基本彼此邻近;流体分配装置,适于与正在第三处理室和第四处理室中处理的衬底流体连通,其中,所述流体分配装置包括:流体源;喷嘴,与流体源流体连通;流体输送装置,适于将流体从流体源输送到喷嘴;和可动隔板,适于将第一处理室与第二处理室隔离开;其中,第二处理模块与第一处理模块基本邻近;和机械手,适于将衬底在第一处理室、第二处理室、第三处理室和第四处理室之间进行传送。
本发明的实施例还提供了一种用于处理衬底的组合工具,包括:晶盒,适于容纳两个或更多个衬底;处理模块,包括:第一处理室,适于对处理区域中的衬底执行第一处理;第二处理室,适于对处理区域中的衬底执行第二处理,其中,第一处理室与第二处理室基本彼此邻近;机械手,适于将衬底传送并定位在第一处理室和第二处理室中,其中,机械手包括:机械手托板;致动器,适于将机械手托板在第一处理室和第二处理室中定位;和热交换装置,与机械手托板处于热连通,并适于控制位于其上的衬底的温度;和系统机械手,适于将衬底在晶盒与第一处理室之间进行传送。
本发明的实施例还提供了一种用于处理衬底的组合工具,包括:晶盒,适于容纳两个或更多个衬底;处理模块,包括:第一处理室;第二处理室,与第一处理室基本邻近;第一机械手,适于访问位于第一处理室和第二处理室中的衬底,其中,第一机械手包括:第一机械手托板组件,包括:第一机械手托板;和第二机械手托板,其中,第一机械手托板和第二机械手托板间隔开一段距离;第二机械手托板组件,包括:第三机械手托板;和第四机械手托板,其中,第三机械手托板和第四机械手托板间隔开一段距离;其中,第二机械手托板组件与第一机械手托板组件间隔开固定距离;并且其中,第一机械手适于基本同时访问第一处理室和第二处理室。
本发明的实施例还提供了一种用于处理衬底的组合工具,包括:第一处理架,包括两个或更多个垂直堆叠的衬底处理室,其中,第一处理架具有第一侧和第二侧;第二处理架,包括两个或更多个垂直堆叠的衬底处理室,其中,第二处理架具有第一侧和第二侧;第一机械手,适于从第一侧访问第一处理架中的衬底处理室;第二机械手,适于从第二侧访问第一处理架中的衬底处理室并从第一侧访问第二处理架中的衬底处理室;和第三机械手,适于从第二侧访问第二处理架中的衬底处理室。
本发明的实施例还提供了一种用于处理衬底的组合工具,包括:晶盒,适于容纳两个或更多个衬底;第一处理架,包括:在垂直方向上堆叠的两个或更多个衬底处理室的第一组,其中,两个或更多个衬底处理室具有沿第一方向延伸的第一侧和沿第二方向延伸的第二侧;第一机械手组件,适于从第一侧访问位于第一处理架中至少一个衬底处理室中的衬底和晶盒中的衬底;第二处理架,包括:在垂直方向上堆叠的两个或更多个衬底处理室的第二组,其中,两个或更多个衬底处理室具有沿第三方向延伸的第一侧,以经过第一侧访问衬底处理室;和第二机械手组件,包括:机械手;第一机械手托板;和第二机械手托板,其中,第一机械手托板和第二机械手托板间隔开一段距离;其中,第二机械手组件适于基本同时从第二侧访问位于第一处理架中至少两个衬底处理室中的衬底,并基本同时从第三侧访问位于第二处理架中至少一个衬底处理室中的衬底。
本发明的实施例还提供了一种用于处理衬底的组合工具,包括:晶盒,适于容纳两个或更多个衬底;12个或更多个涂覆/显影室;12个或更多个处理室,所述处理室选自由烘烤室、HMDS处理室或PEB室构成的组;和传送系统,主要由下列项组成:第一机械手,适于访问衬底,所述衬底位于涂覆/显影室中至少一个、处理室中至少一个、以及晶盒中;和第二机械手组件,适于访问衬底,所述衬底位于涂覆/显影室中至少一个和处理室中至少一个中,其中,第二机械手包括:机械手;第一机械手托板,连接到机械手;和第二机械手托板,连接到机械手,且位置与第一机械手托板间隔固定距离;其中,第二机械手适于基本同时访问位于至少两个涂覆/显影室中的至少一个衬底,并基本同时访问位于至少两个处理室中的至少一个衬底。
本发明的实施例还提供了一种在组合工具中处理衬底的方法,该组合工具包含多个处理台和机械手,能够并行处理多个衬底。在组合工具中处理衬底的方法包括:用第一机械手将至少一个衬底插入到第一处理架中两个或更多个垂直堆叠的处理室中的各个处理室中;在第一处理架中的两个或更多个处理室中处理衬底;用第二机械手基本同时从第一处理架中的两个或更多个垂直堆叠的处理室中取出衬底;用第二机械手在基本同时将衬底传送到第二处理架中两个或更多个垂直堆叠的处理室中;以及用第二机械手将衬底放在第二处理架中两个或更多个垂直堆叠的处理室中。
本发明的实施例还提供了一种在组合工具中处理衬底的方法,包括:用第一机械手将至少一个衬底插入第一处理架中两个或更多个垂直堆叠的处理室中;在第一处理架中两个或更多个垂直堆叠的处理室中对衬底进行处理;用第二机械手基本同时将衬底从第一处理架中两个或更多个垂直堆叠的处理室中取出,其中,取出衬底的步骤还包括:对连接到与第二机械手相连的支撑件的机械手托板进行重定位,以防托板访问第一垂直堆叠的处理室;对单独连接到第二垂直堆叠的处理室中支撑件的机械手托板进行定位;将位于第二垂直堆叠处理室中的衬底定位在机械手托板上;从所述第二堆叠的处理室中取出机械手托板和用第二机械手将衬底传送到两个或更多个垂直堆叠的处理室的第二组。
本发明的实施例还提供了一种在组合工具中处理衬底的方法,包括:用第一机械手将至少一个衬底经过两个或更多个垂直堆叠的处理室的第一侧插入,所述两个或更多个垂直堆叠的处理室位于组合工具中;在处理室中处理衬底;用第二机械手经过两个或更多个垂直堆叠处理室的第二侧基本同时将两个或更多个衬底取出;用第二机械手将两个或更多个衬底同时传送到期望位置。
本发明的实施例还提供了一种在组合工具中处理衬底的方法,包括:用机械手从晶盒取出衬底;将第一衬底插入第一处理室中,所述第一处理室的位置邻近第二处理室;通过将隔板设置在第一处理室与第二处理室之间,将第一处理室与第二处理室隔离开;用连接到流体分配系统的喷嘴将处理流体分配到位于第一处理室中的衬底的表面上;将第二衬底插入第二处理室中;以及用连接到流体分配系统的喷嘴将处理流体分配到位于第二处理室中的第二衬底的表面上。
本发明的实施例还提供了一种在组合工具中处理衬底的方法,包括:将衬底定位在第一处理室中的衬底交换装置上,所述第一处理室的位置邻近第二处理室;将衬底从第一处理室中的衬底交换装置传送到冷却机械手托板的衬底接收表面,其中,所述衬底接收表面适于对其上保持的衬底的温度进行控制;用冷却机械手托板将衬底传送到第二处理室;和用冷却机械手托板将衬底传送到第三处理室,其中,第三处理室与第二处理室邻近。
本发明的实施例还提供了一种在组合工具中处理衬底的方法,包括:将衬底定位在第一处理室中的衬底交换装置上,所述第一处理室的位置邻近第二处理室;将衬底从第一处理室中的衬底交换装置传送到冷却机械手托板的衬底接收表面,其中,所述衬底接收表面适于对其上保持的衬底的温度进行控制;用冷却机械手托板将衬底传送到第二处理室;将第二处理室中的衬底加热到期望的温度;用冷却机械手托板将衬底传送到第三处理室,其中,第三处理室邻近第二处理室;和在第三处理室中将衬底冷却到期望的温度。
本发明的实施例还提供了一种在组合工具中处理衬底的方法,包括:从包含两个或更多个衬底的晶盒传送衬底,其中,晶盒保持在组合工具中;在处理室中对衬底完成最终处理步骤;将衬底从处理室传送到冷却室,所述冷却室适于执行冷却处理;和将衬底从冷却室传送到晶盒。
附图说明
为了详细理解本发明的上述特征,可以参考具体实施方式得到上文简述的本发明的更具体说明,一些实施方式图示于附图中。但是应当注意,附图只是图示了本发明的典型实施方式,因此不应认为对其范围的限制,因为本发明可以采用其他等效实施方式。
图1A是图示了根据本发明一种实施例的组合工具的立体图。
图1B是图1A所示处理系统的俯视图,本发明可以有利地用于其中。
图1C是图示了从与图1A所示相反的侧面看去的情况的另一立体图。
图2A是图示了组合工具另一实施例的俯视图,它只包含前端模块,该前端模块适于与步进机/扫描机工具通信。
图2B是图示了组合工具另一实施例的俯视图,它只包含独立的前端模块。
图2C图示了组合工具另一实施例的俯视图,它包含前端模块和中央模块,其中,中央模块适于与步进机/扫描机工具通信。
图2D是图示了组合工具另一实施例的俯视图,它包含前端模块、中央模块和后端模块,其中,后端模块包含第一后端处理架和第二后端处理架,并且后端模块适于与步进机/扫描机工具通信。
图2E是图1A所示处理系统的俯视图,包含双子涂覆/显影室350和集成烘烤/冷却室800,本发明可以有利地用于其中。
图2F是图示了组合工具另一实施例的俯视图,它包含前端模块和中央处理模块,这些模块各包含两个处理架。
图2G是图示了组合工具另一实施例的俯视图,它包含前端模块、中央处理模块和后端处理模块,这些模块各包含两个处理架。
图2H是图示了组合工具另一实施例的俯视图,它包含前端模块和中央处理模块,这些模块各包含两个处理架和滑动组件,允许前端机械手和中央机械手的基座平移。
图2I是图示了组合工具另一实施例的俯视图,它包含前端模块、中央处理模块和后端处理模块,这些模块各包含两个处理架和两个滑动组件,以允许前端机械手、中央机械手和后端机械手的基座平移。
图3A图示了包含各个处理配方步骤的处理序列的一种实施例,这些处理配方步骤可以与本申请所述组合工具的各种实施例结合使用。
图3B图示了包含各个处理配方步骤的处理序列的另一实施例,这些处理配方步骤可以与本申请所述组合工具的各种实施例结合使用。
图3C图示了包含各个处理配方步骤的处理序列的另一实施例,这些处理配方步骤可以与本申请所述组合工具的各种实施例结合使用。
图4A是图示了根据本发明的前端处理架52一种实施例的侧视图。
图4B是图示了根据本发明的第一处理架152一种实施例的侧视图。
图4C是图示了根据本发明的第二处理架154一种实施例的侧视图。
图4D是图示了根据本发明的后端处理架202一种实施例的侧视图。
图4E是图示了根据本发明的第一后端处理架302一种实施例的侧视图。
图4F是图示了根据本发明的第二后端处理架304一种实施例的侧视图。
图4G是图示了根据本发明的第一处理架308一种实施例的侧视图。
图4H是图示了根据本发明的第二处理架309一种实施例的侧视图。
图4I是图示了根据本发明的第一中央处理架312和第一后端处理架318一种实施例的侧视图。
图4J是图示了根据本发明的第二中央处理架314和第二后端处理架319一种实施例的侧视图。
图4K是图示了根据本发明的第一处理架322一种实施例的侧视图。
图5A是图示了涂覆室一种实施例的侧视图,本发明可以有利地用于其中。
图5B是图示了涂覆室一种实施例的侧视图,本发明可以有利地用于其中。
图5C是图示了涂覆/显影室一种实施例的侧视图,它包含喷头组件,本发明可以有利地用于其中。
图5D是图示了显影室一种实施例的侧视图,本发明可以有利地用于其中。
图6A是流体源组件一种实施例的分解立体图。
图6B是流体源组件一种实施例的分解立体图。
图7A图示了涂覆室组件一种实施例的俯视图,它包含流体分配臂并具有单一自由度。
图7B图示了涂覆室组件一种实施例的俯视图,它包含流体分配臂并具有两个自由度。
图8A是显影室60B一种实施例的侧视图,它包含显影终点检测器组件1400。
图8B是用于改善结合图8A所述终点检测处理的处理方法步骤。
图8C是显影室60B一种实施例的侧视图,它包含显影终点检测组件1400。
图9A是根据本发明的双子涂覆/显影室350的俯视图。
图9B是根据本发明的双子涂覆/显影室350的俯视图。
图10A是图示了冷却室一种实施例的侧视图,本发明可以有利地用于其中。
图10B是图示了烘烤室一种实施例的侧视图,本发明可以有利地用于其中。
图10C是图示了HMDS处理室一种实施例的侧视图,本发明可以有利地用于其中。
图10D是图示了后曝光烘烤(PEB)室一种实施例的侧视图,本发明可以有利地用于其中。
图11A是图示了板组件一种实施例的侧视图,该板组件可以用于快速加热和冷却衬底。
图12A是烘烤室、PEB室或HMDS处理室的侧视图,所述的室包含处理终点检测系统的一种实施例。
图12B是烘烤室、PEB室或HMDS处理室的侧视图,所述的室包含处理终点检测系统的另一实施例。
图12C是用于改善结合图12A-12B所述终点检测处理的处理方法步骤。
图13A是图示了板组件一种实施例的处理室侧视图,该板组件与衬底表面具有改善的热耦合和较小的接触。
图13B是图13A所示板组件顶部的俯视图。
图13C是嵌入图13A所示板组件表面中的籽晶的剖视图。
图13D是嵌入图13A所示板组件表面中的籽晶的剖视图,其表面上具有选择性沉积的层。
图14A是图1B所示处理系统的俯视图,图示了根据图3A所示处理序列将衬底传送通过组合工具的路径。
图14B是图2F所示处理系统的俯视图,图示了根据图3A所示处理序列将衬底传送通过组合工具的路径。
图15A是图示了本发明的组合工具一种实施例的立体图,它包含蛙腿式机械手。
图15B是根据本发明图15A所示处理系统的俯视图。
图15C是图示了根据本发明的蛙腿式机械手组件一种实施例的立体图。
图15D是本发明的蛙腿式机械手组件的俯视图。
图16A是图示了根据本发明的双托板式6轴多关节型机械手组件一种实施例的立体图。
图16B是图示了图16A所示双托板组件一种实施例的立体图。
图16C是图示了图16A所示双托板组件一种实施例的立体图。
图16D是图示了图16A所示双托板组件一种实施例的立体图,它允许机械手托板之间的间距可变。
图16E图示了上/下式双托板组件的剖视图,其中,一个托板已经延伸以访问晶舟组件中晶盒中的衬底。
图16F是图示了单托板式6轴多关节型机械手组件一种实施例的立体图,本发明可以有利地用于其中。
图16G是图示了图16F所示单托板组件一种实施例的立体图。
图16H是图示了根据本发明的双托板6轴多关节型机械手组件和滑动组件一种实施例的立体图。
图16I图示了双托板组件的剖视图,其中,托板被定位成从成对晶盒中传送衬底。
图17A是烘烤室、冷却室、以及适于将衬底在这些室之间传送的机械手一种实施例的立体图。
图17B是烘烤室、冷却室、以及适于将衬底在这些室之间传送的机械手一种实施例的立体图。
图17C是示出与图17A所示视图相反那侧的立体图,图示了适于将衬底在这些室之间进行传送的机械手。
图18A是烘烤/冷却室800一种实施例的立体图。
图18B是示出与图18A所示视图相反那侧的立体图,图示了适于将衬底在这些室之间进行传送的机械手。
图19A是图示了组合工具和步进机/扫描机工具另一种实施例的俯视图,其中,步进机/扫描机与组合工具分开。步进机/扫描机具有至少一个集成到步进机/扫描机中的PEB室。
图19B图示了包含各种处理配方步骤的处理序列的一种实施例,该处理配方步骤可以与图19A所示组合工具的各种实施例结合使用。
图20A是图16A所示机械手的侧视图,它用在设置成与机械手的到达范围相符的处理架构造中。
图20B是处理架构造另一种实施例的立体图,它适于与具有中央安装点的机械手的到达范围相符。
图21A是图示了本发明的组合工具另一种实施例的立体图。
图21B是图示了根据本发明图21A所示处理系统的俯视图。
图21C是图示了根据本发明图21A所示处理系统的侧视图。
图21D是图示了图21A所示组合工具的第一处理架460一种实施例的侧视图。
图21E是图示了根据本发明的第二处理架480一种实施例的侧视图。
图21F图示了包含各种处理配方步骤的处理序列的一种实施例,所述处理配方步骤可以与本申请所述组合工具的各种实施例结合使用。
图21G是图示了机械手一种实施例的立体图,该机械手可以用于在组合工具的各种实施例中传送衬底。
图21H是图示了图21G所示机械手一种实施例的立体图,它使用了单臂机械手。此视图中已经除去了外壳部件。
图21I是图示了图21G和21H所示水平运动组件一种实施例的立体图。
图22A图示了处理架中保持的处理室的立体图,其具有安装在它们各个开口外侧的衬底位置误差检测和校正系统。
具体实施方式
本发明大体上提供了一种装置和方法,其用于使用多室处理系统(例如组合工具)对衬底进行处理,并在组合工具中具有更高的系统吞吐量、更高的系统可靠性和更具可重复性的晶片处理历史(即晶片历史),并且组合工具占地(footprint)更小。在一种实施例中,组合工具适用于执行匀胶显影光刻处理,在该处理中,给衬底涂敷光敏材料,然后将衬底传送到步进机/扫描机,所述步进机/扫描机将光敏材料暴露于某种形式的辐射以在光敏材料中形成图案,然后在组合工具中完成的显影处理中除去光敏材料的某些部分。
图1A和图1C是组合工具10一种实施例的立体图,其图示了本发明可能产生有利效果的若干方面。如图1A和图1C所示,组合工具10一种实施例包括前端模块50、中央模块150和后端模块200。前端模块50大体上包含一个或多个晶舟组件105(例如组件105A-105D)、前端机械手108(见图1B)和前端处理架52。中央模块150大体上包含第一中央处理架152、第二中央处理架154和中央机械手107(见图1B)。后端模块200大体上包含后端处理架202和后端机械手109(见图1B)。在一种实施例中,组合工具10包含:前端机械手108,用于访问(access)前端处理架52中的处理室;中央机械手107,用于访问前端处理架52、第一中央处理架152、第二中央处理架154和/或后端处理架202中的处理室;和后端机械手109,用于访问后端处理架202中的处理室,并在某些情况下与步进机/扫描机5(见图1B)交换衬底。在一种实施例中,穿梭机械手110用于在一个或多个处理架(例如前端处理架52、第一中央处理架152等)中所含的两个或更多个邻近处理室之间传送衬底。在一种实施例中,前端壳体104用于对前端机械手108周围的环境以及晶舟组件105与前端处理架52之间的环境进行控制。
图1B图示了图1A所示一种实施例的俯视图,该图包含了本发明各方面中可能的处理室结构的更多细节。参考图1B,前端模块50大体上包含一个或多个晶舟组件105、前端机械手108和前端处理架52。这一个或多个晶舟组件105、或前开式标准舱(front-end opening unified pods,FOUP)通常用于接受一个或多个晶盒106,所述晶盒106可以包含要在组合工具10中处理的一个或多个衬底“W”或晶片。前端处理架52包含多个处理室(例如烘烤室90、冷却室80等),这些处理室用于执行衬底处理序列中的各个处理步骤。在一种实施例中,前端机械手108用于将衬底在安装于晶舟组件105中的晶盒与前端处理架52中所含的一个或多个处理室之间进行输送。
中央模块150大体上包含中央机械手107、第一中央处理架152和第二中央处理架154。第一中央处理架152和第二中央处理架154包含各种处理室(例如涂覆/显影室60、烘烤室90、冷却室80等),这些处理室用于执行衬底处理序列中的各个处理步骤。在一种实施例中,中央机械手107用于将衬底在前端处理架52、第一中央处理架152、第二中央处理架154和/或后端处理架202之间进行传送。在一个方面,中央机械手107位于中央模块150中的第一中央处理架152与第二中央处理架154之间的中央位置。
后端模块200大体上包含后端机械手109和后端处理架202。后端处理架202大体上包含处理室(例如涂覆/显影室60、烘烤室90、冷却室80等),这些处理室用执行衬底处理序列中的各个处理步骤。在一种实施例中,后端机械手109用于将衬底在后端处理架202与步进机/扫描机5之间进行传送。步进机/扫描机5可以从San Jose,CA的Canon USA,Inc.、Belmont CA的Nikon Precision Inc.或Tempe,Arizona的ASML US,Inc.买到,它是例如用于集成电路(IC)制造的光刻投影设备。步进机/扫描机5将组合工具中衬底上沉积的光敏材料(光刻胶)暴露于某种形式的电磁辐射,以产生与要在衬底表面上形成的集成电路(IC)器件的各个层相应的电路图案。
在一种实施例中,系统控制器101用于对组合工具10中的所有部件以及其中执行的所有处理进行控制。系统控制器101通常用于与步进机/扫描机5通信、对组合工具10中所执行处理的各个方面进行监视和控制、并用于对完整的衬底处理序列的所有方面进行控制。系统控制器101通常是基于微处理器的控制器,其设置成接收来自用户和/或一个处理室中的各种传感器的输入,并根据各种输入以及控制器的存储器中所含的软件指令来正确地控制处理室部件。系统控制器101通常包含存储器和CPU(未示出),控制器使用它们来保存各种程序、处理这些程序并在必要时执行这些程序。存储器(未示出)连接到CPU,并可以是一种或多种易于获得的存储器,例如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘、或任何其他形式的数字储存装置,可以是本地的也可以是远程的。可以对软件指令和数据进行编码并将其储存在存储器内以指令CPU。辅助电路(未示出)也连接到CPU,用于以传统方式辅助处理器。辅助电路可以包括本领域公知的缓存器、电源、时钟电路、输入/输出电路、子系统等。系统控制器101可读的程序(或计算机指令)确定一个或多个处理室中可以执行的任务。优选地,程序是系统控制器101可读的软件,并包括根据所限定的规则和输入数据来对处理进行监视和控制的指令。
图2A是图示了组合工具10另一种实施例的俯视图,该组合工具10包含连接到步进机/扫描机5的前端模块50。这种结构中的前端模块50可以包含前端机械手108、前端处理架52和与步进机/扫描机5连通的后端机械手109A。在这种结构中,前端处理架52包含多个处理室(例如涂覆/显影室60、烘烤室70、冷却室80等),这些处理室用于执行衬底处理序列中的各个处理步骤。在这种结构中,前端机械手108用于将衬底在安装于晶舟组件105中的晶盒106与前端处理架52中所包含的一个或多个处理室之间进行传送。另外,在这种结构中,后端机械手109A用于将衬底在前端处理架52与步进机/扫描机5之间进行传送。在一种实施例中,穿梭机械手110用于将衬底在一个或多个处理架(例如前端处理架52、第一中央处理架152(见图1B)等)中所包含的两个或更多个邻近的处理室之间进行传送。在一种实施例中,组合工具10包含前端模块50,但是不包含后端机械手109A,也不与步进机/扫描机5交互。
图2B是图示了图2A所示组合工具10另一种实施例的俯视图,其不与步进机/扫描机5连通。在这种结构中,组合工具10可以用作独立工具,利用前端处理架52中所包含的处理室来执行所需的处理序列。
图2C是图示了组合工具10再一种实施例的俯视图,该组合工具包含前端模块50和中央模块150,这些模块连接到步进机/扫描机5并由前端机械手108和中央机械手107向它们提供服务。在一种实施例中,中央机械手107用于将衬底在前端处理架52、第一中央处理架152、第二中央处理架154和/或步进机/扫描机5之间进行传送。在一种实施例中,穿梭机械手110用于将衬底在一个或多个处理架(例如前端处理架52、第一中央处理架152等)中所包含的两个或更多个邻近的处理室之间进行传送。
图2D是组合工具10另一种实施例的俯视图,其包含前端模块50、中央模块150和后端模块300,其中后端处理架302设置成包含第一后端处理架302和第二后端处理架304。在这种结构中,后端机械手109可以用于从第一中央处理架152、第二中央处理架154、第一后端处理架302、第二后端处理架304、中央机械手107和/或步进机/扫描机5传送衬底。另外,在这种结构中,中央机械手107可以用于从第一中央处理架152、第二中央处理架154、第一后端处理架302、第二后端处理架304和/或后端机械手109传送衬底。在一种实施例中,穿梭机械手110用于将衬底在一个或多个处理架(例如前端处理架52、第一中央处理架152等)中所包含的两个或更多个邻近的处理室之间进行输送。
图2E图示了图1B所示一种实施例的俯视图,其包含安装于第二中央处理架314(见图4J)中的双子涂覆/显影室350(见图9A-9B),室350可以用于在两个处理室370中执行光刻胶涂敷步骤520(见图3A-3C)或显影步骤550(见图3A-3C)。这种结构的好处在于它允许对两个处理室370中都用的某些共用部件进行共享,从而降低系统成本、复杂性和工具占地面积。下文中说明的图9A-9B图示了双子涂覆/显影350的各个方面。图2E还包含安装于第一中央处理架322(见图4K)的烘烤/冷却室800,室800可以用于执行所需处理序列中的各种烘烤步骤(例如后BARC烘烤步骤512、PEB步骤540等(见图3A-3C))和冷却步骤(例如后BARC冷却步骤514、后PEB冷却步骤540等(见图3A-3C))。下文中会结合图18A-18B对烘烤/冷却室800进行说明。
图2F是组合工具10另一种实施例的俯视图,其包含前端模块306和中央模块310。在这种实施例中,前端模块306可以包含第一处理架308和第二处理架309,中央模块310可以包含第一中央处理架312和第二中央处理架314。前端机械手108用于将衬底在安装于晶舟组件105中的晶盒106、第一处理架308、第二处理架309、第一中央处理架312、第二中央处理架314和/或中央机械手107之间进行传送。中央机械手107用于将衬底在第一处理架308、第二处理架309、第一中央处理架312、第二中央处理架314、前端机械手108和/或步进机/扫描机5之间进行传送。在一种实施例中,前端机械手108和中央机械手107是多关节型(articulated)机械手(下文中会说明)。在一种实施例中,穿梭机械手110用于将衬底在一个或多个处理架(例如第一处理架308、第一中央处理架312等)中所包含的两个或更多个邻近的处理室之间进行传送。在一个方面,前端机械手108位于前端模块306的第一处理架308与第二处理架309之间的中央位置。在另一个方面,中央机械手107位于中央模块310的第一中央处理架312与第二中央处理架314之间的中央位置。
图2G是组合工具10另一种实施例的俯视图,它与图2F所示实施例类似,但增加了后端模块316,后端模块316可以连接到步进机/扫描机5。在这种实施例中,前端模块306可以包含第一处理架308和第二处理架309,中央模块310可以包含第一中央处理架312和第二中央处理架314,后端模块315可以包含第一后端处理架318和第二后端处理架319。前端机械手108用于将衬底在安装于晶舟组件105中的晶盒106、第一处理架308、第二处理架309、第一中央处理架312、第二中央处理架314和/或中央机械手107之间进行传送。中央机械手107用于将衬底在第一处理架308、第二处理架309、第一中央处理架312、第二中央处理架314、第一后端处理架318、第二后端处理架319、前端机械手108和/或后端机械手109之间进行传送。后端机械手109用于将衬底在第一中央处理架312、第二中央处理架314、第一后端处理架318、第二后端处理架319、中央机械手107和/或步进机/扫描机5之间进行传送。在一种实施例中,前端机械手108、中央机械手107和后端机械手109中的一个或多个是多关节型机械手(下文中会说明)。在一种实施例中,穿梭机械手110用于将衬底在一个或多个处理架(例如第一处理架308、第一中央处理架312等)中所包含的两个或更多个邻近的处理室之间进行传送。在一个方面,后端机械手109位于后端模块316的第一后端处理架318与第二后端处理架319之间的中央位置。
图2F和图2G所示的实施例的具有好处,因为在处理架之间所形成的间隙形成了较为开放的空间,这样的空间会允许维护人员访问已经变得不可操作的组合工具部件。如图2F和图2G所示,在本发明的一个方面,间隙与处理架之间的空间一样宽,并与处理架的高度一样高。由于系统的停机时间(down-time)和系统的可用性是针对给定机器判定其CoO的重要组成部分,所以易于对组合工具部件进行访问和维护的能力具有超过其他现有技术结构的优点。
图2H是组合工具10另一种实施例的俯视图,它与图2F所示实施例类似,但是增加了滑动组件714(见图16H),滑动组件714允许前端机械手108和中央机械手107的基座沿组合工具的长度方向(分别由标记A1和A2表示)平移。这种结构将各个机械手的到达范围延伸了,并改善了“机械手覆盖性”。机械手覆盖性是机械手对其他模块的处理架中的处理室进行访问的能力。尽管图2H图示了前端机械手108和中央机械手107处于单一的滑动组件714上,但是其他实施例可以包括使每个机械手(标号107和108)在其自己的滑动组件上,或者只有一个机械手安装在滑动组件上而其他机械手安装到底板或系统框架,这并不脱离本发明的范围。
图2I是组合工具10另一种实施例的俯视图,它与图2G所示实施例类似,但是增加了两个滑动组件714A-714B(在图16H中说明),这些滑动组件允许前端机械手108的基座以及中央机械手107和后端机械手109的基座沿组合工具10的长度方向(分别用标号A1、A2和A3表示)平移。尽管图2I图示了前端机械手108在一个滑动组件714A上而中央机械手107和后端机械手109在单一滑动组件714B上,但是其他实施例可以包括使一个或多个机械手(标号107、108和109)在它们自己的滑动组件(未示出)上、在共享的滑动组件上或三个机械手都在单一的滑动组件(未示出)上,这并不脱离本发明的范围。
光刻处理序列
图3A图示了一系列方法步骤501的一种实施例,它可以用于对衬底表面上形成的光刻胶材料层进行沉积、曝光和显影。这种光刻处理可以大体上包含以下步骤:从晶舟卸下衬底的步骤508A、BARC涂敷步骤510、后BARC烘烤步骤512、后BARC冷却步骤514、光刻胶涂敷步骤520、后光刻胶涂敷烘烤步骤522、后光刻胶冷却步骤524、光学晶边去除(optical edge bead removal,OEBR)步骤536、曝光步骤538、后曝光烘烤(PEB)步骤540、后PEB冷却步骤542、显影步骤550、以及置于晶舟中步骤508B。在其他实施例中,在不脱离本发明基本范围的情况下,可以对方法步骤501的序列进行重排、更替、可以除去一个或多个步骤、或者可以将两个或更多个步骤合并在单一的步骤中。
从晶舟卸下衬底的步骤508A大体上定义为用前端机械手108从位于晶舟组件105之一中的晶盒106卸下衬底的处理。含有一个或多个衬底“W”的晶盒106被用户或某个外部装置(未示出)置于晶舟组件105上,使得可以通过由系统控制器101中包含的软件来控制的、用户定义的衬底处理序列在组合工具10中处理衬底。
BARC涂敷步骤510(即底部抗反射涂敷处理(下文中称为BARC)),是用于在衬底表面上方沉积有机材料的步骤。BARC层通常是有机涂层,该涂层在光刻胶层之前施加到衬底上以吸收光,否则在步进机/扫描机5中执行曝光步骤538期间,所述光可能从衬底表面反射回光刻胶中。如果不防止这些反射,则可能在光刻胶层中建立起驻波,造成取决于光刻胶层的局部厚度的一项或多项特征尺寸从一个位置到另一个位置变化。BARC层还可以用于使衬底表面形貌平坦(即平面化),因为在完成多个电子器件制造步骤之后,表面形貌变化就永久存在了。BARC材料填充在特征周围及其上方以产生用于施加光刻胶的更平坦表面,并减小了光刻胶厚度的局部变化。BARC涂敷步骤510通常是用传统的旋涂光刻胶分配工艺来执行的,在该工艺中,在使衬底旋转的同时,将一定量的BARC材料沉积到衬底表面上,使得BARC材料中的溶剂蒸发并从而使所沉积的BARC材料改变材料特性。常常对BARC处理室中的空气流和排气流的流速进行控制,以控制溶剂蒸发处理和衬底表面上所形成的层的特性。
后BARC烘烤步骤512是用于确保所有溶剂都从BARC涂敷步骤510中所沉积的BARC层除去的步骤,在某些情况下,用于促进BARC层粘附到衬底表面上。后BARC烘烤步骤512的温度取决于衬底表面上沉积的BARC材料类型,但是通常低于约250℃。完成后BARC烘烤步骤512所需的时间会取决于后BARC烘烤步骤期间的衬底温度,但是通常会小于约60秒。
后BARC冷却步骤514是用于确保对衬底处于高于环境温度的时间进行控制以使每个衬底都经历相同的时间-温度分布的步骤,从而使处理变动减至最小。BARC处理的时间-温度分布变动是衬底的晶片历史的组成部分,可能对所沉积的膜层特性产生影响,因此常常对其进行控制以使处理变动减至最小。后BARC冷却步骤514通常用于在后BARC烘烤步骤512之后将衬底冷却到等于或接近环境温度的温度。完成后BARC冷却步骤514所需的时间会取决于从后BARC烘烤步骤中出来的衬底的温度,但是通常会小于约30秒。
光刻胶涂敷步骤520是用于在衬底表面上方沉积光刻胶层的步骤。光刻胶涂敷步骤520期间沉积的光刻胶层通常是光敏有机涂层,其被施加到衬底上、然后在步进机/扫描机5中进行曝光以在衬底表面上形成经图案化的特征。通常使用传统的旋涂光刻胶分配工艺来执行光刻胶涂敷步骤520,在该工艺中,在使衬底旋转的同时将一定量的光刻胶材料沉积在衬底表面上,从而使光刻胶材料中的溶剂蒸发并使所沉积的光刻胶层的材料特性改变。对光刻胶处理室中的空气流和排气流的流速进行控制,以控制溶剂蒸发处理和衬底表面上形成的层的特性。在某些情况下,需要在光刻胶涂敷步骤期间通过对排气流速进行控制和/或将注入衬底表面附近,来对衬底表面上的溶剂分压进行控制,以对溶剂从光刻胶的蒸发进行控制。参考图5A,为了完成光刻胶涂敷步骤520,首先将衬底设在涂覆室60A中的旋转卡盘1033上。电动机使旋转卡盘1033和衬底旋转,同时将光刻胶分配到衬底中心上。旋转在光刻胶上施加角转矩,迫使光刻胶沿径向向外,最终覆盖衬底。
后光刻胶涂敷烘烤步骤522是用于确保大部分(即使不是全部)溶剂从光刻涂敷步骤520中所沉积的光刻胶层除去的步骤,在某些情况下用于促进光刻胶层粘附到BARC层。后光刻胶涂敷烘烤步骤522的温度取决于衬底表面上沉积的光刻胶材料类型,但是通常会小于约250℃。完成后光刻胶涂敷烘烤步骤522所需的时间会取决于后光刻胶涂敷烘烤步骤期间的衬底温度,但是通常小于约60秒。
后光刻胶冷却步骤524是用于对衬底处于高于环境温度的温度的时间进行控制、以使每个衬底都经历相同的时间-温度分布并从而使处理变动减至最小的步骤。时间-温度分布的变动可能对所沉积的膜层特性产生影响,因此经常对其进行控制以将处理变动减至最小。因此用后光刻胶冷却步骤524的温度来在后光刻胶涂敷烘烤步骤522之后将衬底冷却到等于或接近环境温度的温度。完成后光刻胶冷却步骤524所需的时间会取决于从后光刻胶涂敷烘烤步骤出来的衬底的温度,但是通常会小于约30秒。
光学晶边去除(OEBR)步骤536是这样的处理:它用于将所沉积的一个或多个光敏光刻胶层对辐射源(未示出)曝光,从而使任一层或两个层都可以从衬底边缘除去,并使得可以更加均匀地对所沉积的层的边缘排除量进行控制,其中所述一个或多个光敏光刻胶层例如是光刻胶涂敷步骤520期间形成的层和BARC涂敷步骤510期间形成的BARC层。用于对衬底表面进行曝光的辐射波长和强度会取决于衬底表面上沉积的BARC和光刻胶层的类型。OEBR工具例如可以从Cypress,CA的USHIO America,Inc.买到。
曝光步骤538是由光刻投影设备(例如步进机扫描机5)应用的、形成制造集成电路(IC)所用图案的光刻投影步骤。曝光步骤538通过将光敏材料暴露于某种形式的电磁辐射,从而在衬底表面上形成与集成电路(IC)器件的各个层相应的电路图案,所述光敏材料(光刻胶)例如光刻胶涂敷步骤520期间形成的光刻胶层和BARC涂敷步骤510期间形成的BARC层。步进机/扫描机5可以从Cannon、Nikon或ASML买到。
后曝光烘烤(PEB)步骤540是用于在曝光步骤538之后立刻对衬底进行加热、以增强感光化合物扩散并减少光刻胶层中驻波效应的步骤。对于化学式放大的光刻胶,PEB步骤还造成使光刻胶的可溶性改变的催化化学反应。在PEB期间对温度的控制对于临界尺寸(CD)控制很重要。PEB步骤540的温度取决于衬底表面上沉积的光刻胶材料类型,但是通常会低于约250℃。完成PEB步骤540所需的时间通常会取决于PEB步骤期间的衬底温度,但是通常会小于约60秒。
后曝光烘烤(PEB)冷却步骤542是用于确保对衬底处于高于环境温度的温度的时间进行控制、使得每个衬底都经历相同的时间-温度分布并从而使处理变动减至最小的步骤。PEB处理时间-温度分布中的变动可能对所沉积的膜层特性产生影响,因此常常对其进行控制以将处理变动减至最小。因此用后PEB冷却步骤542的温度来在PEB步骤540之后将衬底冷却到等于或接近环境温度的温度。完成后PEB冷却步骤542所需的时间通常取决于PEB步骤出来的衬底的温度,但是通常会小于约30秒。
显影步骤550是这样的处理:用溶剂对暴露的或未暴露的光刻胶和BARC层造成化学改变或物理改变以使曝光步骤538期间形成的图案暴露。显影处理可以是用于分配显影溶剂的喷雾式、浸没式或搅拌(puddle)式处理。在显影步骤550的一种实施例中,在将溶剂分配到衬底表面上之后,可以执行清洗步骤来从衬底表面清洗溶剂材料。分配在衬底表面上的清洗溶液可以包含去离子水和/或表面活性剂。
将衬底插入晶舟中的步骤508B大体上定义为使前端机械手108将衬底返回位于晶舟组件105中之一的晶盒106的处理。
图3B图示了另一种实施例,其中可以用一系列方法步骤502来在衬底表面上执行匀胶显影光刻处理。方法步骤502中的光刻处理包含图3A中出现的所有步骤,但是用六甲基二硅氮烷(下文中称为HMDS)处理步骤511和后HMDS冷却步骤513代替了BARC涂敷步骤510和后BARC烘烤步骤512。在其他实施例中,在不脱离本发明基本范围的情况下,可以对方法步骤502的序列进行重排、更替、可以除去一个或多个步骤、或者可以将两个或更多个步骤合并在单一的步骤中。
HMDS处理步骤511大体上包含下述步骤:将衬底加热到高于约125℃的温度,并将衬底暴露于含一定量HMDS蒸汽的处理气体一段较短时间(例如小于120秒),以制备衬底表面并使之干燥,从而促进处理序列中随后沉积的光刻胶层的粘附。尽管上文具体描述了使用HMDS蒸汽作为与HMDS处理步骤511结合使用的化学物质,但是HMDS处理步骤511应当更广泛地表示一类相似处理,这些处理处理可以用来制备衬底表面并使之干燥以促进光刻胶层的粘附。因此,本说明书中使用术语HMDS不应理解为对本发明范围的限制。在某些情况下,HMDS步骤也称为“蒸汽准备(vapor prime)”步骤。
后HMDS冷却步骤513对衬底温度进行控制,使得进入光刻胶处理步骤的所有衬底处于相同的初始处理温度。进入光刻胶涂敷步骤520的衬底的温度变动可能对所沉积的膜层特性产生显著影响,因此常常对其进行控制以使处理变动减至最小。因此用后HMDS冷却步骤513的温度在HMDS处理步骤511后将衬底冷却到等于或接近环境温度的温度。完成后HMDS冷却步骤513所需的时间通常会取决于从HMDS处理步骤511出来的衬底温度,但是通常会小于约30秒。
图3C图示了处理序列的另一种实施例,即方法步骤503,其可以用于在衬底上执行匀胶显影光刻处理。光刻处理通常可以包含从晶舟卸下的步骤508A、前BARC冷却步骤509、BARC涂敷步骤510、后BARC烘烤步骤512、后BARC冷却步骤514、光刻胶涂敷步骤520、后光刻胶涂敷烘烤步骤522、后光刻胶冷却步骤524、抗反射顶部涂敷步骤530、后顶部涂敷烘烤步骤532、后顶部涂敷冷却步骤534、光学晶边去除(OEBR)步骤536、曝光步骤538、后曝光烘烤(PEB)步骤540、后PEB冷却步骤542、显影步骤550、SAFIERTM(Shrink Assist Film for EnhancedResolution,用于更高分辨率的收缩辅助膜)涂敷步骤551、后显影烘烤步骤552、后显影冷却步骤554、以及置于晶舟中的步骤508B。方法步骤503中的光刻处理包含了图3A中出现的所有步骤,并增加了抗反射顶部涂敷步骤530、后顶部涂敷烘烤步骤532、后顶部涂敷冷却步骤534、后显影烘烤步骤552、后显影冷却步骤554和SAFIERTM涂敷步骤551。在其他实施例中,在不脱离本发明基本范围的情况下,可以对方法步骤503的序列进行重排、更替、可以除去一个或多个步骤、或者可以将两个或更多个步骤合并在单一的步骤中。
前BARC冷却步骤509对衬底温度进行控制,使得进入BARC处理步骤的所有衬底处于相同的初始处理温度。进入BARC涂敷步骤510的衬底的温度变动可能对所沉积的膜层特性产生显著影响,因此常常对其进行控制以使处理变动减至最小。因此用前BARC步骤509的温度把从晶舟传送的衬底冷却或加热到等于或接近环境温度的温度。完成前BARC冷却步骤509所需的时间通常会取决于晶盒106中的衬底的温度,但是通常会小于约30秒。
抗反射顶部涂敷步骤530或顶部抗反射涂敷处理(下文中称为TARC)是用于在光刻胶涂敷步骤520期间沉积的光刻胶层上方沉积有机材料的步骤。TARC层通常用于吸收光,否则在步进机/扫描机5中执行曝光步骤538期间,所述光可能从衬底表面反射回光刻胶中。如果不防止这些反射,则可能在光刻胶层中建立起驻波,造成取决于光刻胶层的局部厚度的一项或多项特征尺寸从一个位置到另一个变化。TARC层还可以用于使衬底表面形貌平坦(即平面化),所述表面形貌永久存在于器件衬底上。抗反射顶部涂敷步骤530通常是用传统的旋涂光刻胶分配工艺来执行的,在该工艺中,在使衬底旋转的同时,将一定量的TARC材料沉积到衬底表面上,使得TARC材料中的溶剂蒸发并从而使TARC层致密。对涂敷室60A中的空气流和排气流的流速进行控制,以控制溶剂蒸发处理和衬底表面上所形成的层的特性。
后顶部涂敷烘烤步骤532是用于确保从抗反射顶部涂敷步骤530中所沉积的TARC层除去所有溶剂的步骤。后顶部涂敷烘烤步骤532的温度取决于衬底表面上沉积的TARC材料的类型,但是通常会小于约250℃。完成后顶部涂敷烘烤步骤532所需的时间取决于后顶部涂敷烘烤步骤期间执行处理的温度,但是通常会小于约60秒。
后顶部涂敷冷却步骤534是用于对衬底处于高于环境温度的时间进行控制、使每个衬底都经历相同的时间-温度分布并从而使处理变动减至最小的步骤。TARC处理的时间-温度分布变动是衬底的晶片历史的组成部分,可能对所沉积的膜层特性产生影响,因此常常对其进行控制以使处理变动减至最小。后顶部涂敷冷却步骤534通常用于在后顶部涂敷烘烤步骤532之后将衬底冷却到等于或接近环境温度的温度。完成后顶部涂敷冷却步骤534所需的时间会取决于从后顶部涂敷烘烤步骤532中出来的衬底的温度,但是通常会小于约30秒。
后显影烘烤步骤552是用于确保在显影步骤550之后从剩余的光刻胶层除去所有显影溶剂的步骤。后显影烘烤步骤552的温度取决于衬底表面上沉积的光刻胶材料的类型,但是通常会小于约250℃。完成后显影烘烤步骤552所需的时间取决于后光刻胶烘烤步骤期间的衬底温度,但是通常会小于约60秒。
后显影冷却步骤554是用于对衬底处于高于环境温度的时间进行控制、并确保该控制使得每个衬底都经历相同的时间-温度分布从而使处理变动减至最小的步骤。显影处理的时间-温度分布变动可能对所沉积的膜层特性产生影响,因此常常对其进行控制以将处理变动减至最小。因此用后显影冷却步骤554的温度来在后显影烘烤步骤552之后将衬底冷却到等于或接近环境温度的温度。完成后显影冷却步骤554所需的时间取决于从后显影烘烤步骤552出来的衬底的温度,但是通常会小于约30秒。
SAFIERTM(用于更高分辨率的收缩辅助膜)涂敷步骤551是这样的处理:在显影步骤550之后在剩余的光刻胶层上方沉积材料,然后在后显影烘烤步骤552中对其进行烘烤。SAFIERTM处理通常用于在分布恶化很小的情况下给IC沟槽图案、过孔和接触孔造成物理收缩,并提高线边缘粗糙度(LER)。SAFIERTM涂敷步骤551通常用传统的旋涂光刻胶分配工艺来执行,在该工艺中,在使衬底旋转的同时将一定量SAFIERTM材料沉积在衬底表面上。
处理架
图4A-4J图示了前端处理支架52、第一中央处理支架152、第二中央处理支架154、后端处理支架202、第一后端处理支架302、第二后端处理支架304、第一处理支架308、第二处理支架309、第一中央处理支架312、第二中央处理支架314、第一后端处理支架318和第二后端处理支架318的一种实施例的侧视图,这些支架包含多个衬底处理室以执行衬底处理序列的各个方面。大体上,图4A-4J所示的处理支架可以包含一个或多个处理室,例如一个或多个涂覆室60A、一个或多个显影室60B、一个或多个冷却室80、一个或多个烘烤室90、一个或多个PEB室130、一个或多个辅助室65、一个或多个OEBR室62、一个或多个双子涂覆/显影室350、一个或多个烘烤/冷却室800、和/或一个或多个HMDS室700,这些室将在下文中进一步说明。图4A-4J所示处理室的方向、类型、定位和数目不应认为是对本发明范围的限制,而用于图示本发明的各种实施例。
在一种实施例中,如图4A-4J所示,处理室垂直堆叠,即一个室基本上位于另一个室的上方,从而减小组合工具10的占地面积。在另一种实施例中,这些室垂直堆叠以使处理室定位成水平交错的图案,一个室部分地位于另一个室上方,以便在一个或多个室具有不同物理尺寸时有助于更有效地利用处理支架空间。在再一种实施例中,这些处理室可以垂直交错,其中处理室的基座不占据公共平面;和/或水平交错,其中处理室的侧面不跟另外的处理室占据公共平面。在开发组合工具时,将组合工具的占地面积减至最小常常是一个重要因素,因为可以安装组合工具的洁净室空间经常有限,且建造和维护都很昂贵。
图4A图示了面对中央机械手107时从组合工具10的外部并从晶舟组件105前方看去,前端处理架52的侧视图,因此它与图1A-1B和图2A-2C所示的图一致。在一种实施例中,如图4A所示,前端处理架52包含四个涂覆/显影室60(用CD1-CD4标记)、十二个冷却室80(用C1-C12标记)、六个烘烤室90(用B1-B6标记)和/或六个HMDS处理室70(用P1-P6标记)。
图4B图示了面对中央机械手107时从组合工具10的外部看去,第一中央处理架152的侧视图,因此它与图1A-1B和图2A-2C所示的图一致。在一种实施例中,如图4B所示,第一中央处理架152包含十二个冷却室80(用C1-C12标记)和二十四个烘烤室90(用B1-B24标记)。
图4C图示了面对中央机械手107时从组合工具10的外部看去,第二中央处理架154的侧视图,因此它与图1A-1B和图2A-2C所示的图一致。在一种实施例中,如图4C所示,第二中央处理架154包含四个涂覆/显影室60(用CD1-CD4标记)和四个辅助室65(用S1-S4标记)。在一种实施例中,用四个涂覆/显影室60代替四个辅助室65。
图4D图示了面对中央机械手107时从组合工具10的外部看去,后端处理架202的侧视图,因此它与图1A-1B和图2B所示的图一致。在一种实施例中,如图4D所示,后端处理架202包含四个涂覆/显影室60(用CD1-CD4标记)、八个冷却室80(用C1-C8标记)、两个烘烤室90(用B1-B2标记)、四个OEBR室62(用OEBR1-OEBR4标记)和六个PEB室130(用PEB1-PEB6标记)。
图4E示图示了面对后端机械手109时从组合工具10的外部看去,第一后端处理架302的侧视图,因此它与图2C所示的图一致。在一种实施例中,如图4E所示,第一后端处理架302包含四个涂覆/显影室60(用CD1-CD4标记)、八个冷却室80(用C1-C8标记)、两个烘烤室90(用B1-B2标记)、四个OEBR室62(用OEBR1-OEBR4标记)以及六个PEB室130(用PEB1-PEB6标记)。
图4F图示了面对后端机械手109时从组合工具10的外部看去,第二后端处理架304的侧视图,因此它与图2C所示的图一致。在一种实施例中,如图4F所示,第二后端处理架304包含四个涂覆/显影室60(用CD1-CD4标记)和四个辅助室65(用S1-S4标记)。在一种实施例中,可以用四个涂覆/显影室60代替四个辅助室65。
图4G图示了面对前端机械手108时从组合工具10的外部看去,第一处理架308的侧视图,因此它与图2F-2G所示的图一致。在一种实施例中,如图4G所示,第一处理架308包含十二个烘烤/冷却室800(用BC1-BC12标记),这些室将在下文中结合图18进行说明。
图4H图示了面对前端机械手108时从组合工具10的外部看去,第二处理架308的侧视图,因此它与图2F-2G所示的图一致。在一种实施例中,如图4H所示,第二处理架309包含四个涂覆/显影室60(用CD1-CD4标记)和四个辅助室65(用S1-S4标记)。在一种实施例中,用四个涂覆/显影室60代替四个辅助室65。
图4I图示了面对中央机械手107或后端机械手109时从组合工具10的外部看去,第一中央处理架312或第一后端处理架318的侧视图,因此它与图2F-2G所示的图一致。在一种实施例中,如图4I所示,第一中央处理架312或第一后端处理架318包含八个冷却室80(用C1-C8标记)、十四个烘烤室90(用B1、B2、B3、B5、B6、B7等标记)、四个OEBR室62(用OEBR1-OEBR4标记)和六个PEB室130(用PEB1-PEB6标记)。在另一种实施例中,第一中央处理架312或第一后端处理架318可以布置成与图4G所示一样的结构,包含十二个冷却室80和二十四个烘烤室90。
图4J图示了面对中央机械手107(或后端机械手109)时从组合工具10的外部看去,第二中央处理架314或第二后端处理架319的侧视图,因此它与图2F-2G所示的图一致。在一种实施例中,如图4J所示,第二中央处理架314或第二后端处理架319包含四个双子涂覆/显影室350,这些室350包含四对处理室370,处理室370可以设置为涂覆室60A、设置成显影室60B或者它们的组合。
图4K图示了面对前端机械手108时从组合工具10的外部看去,第一处理架322的侧视图,因此它与图2E所示的图一致。在一种实施例中,如图4K所示,第一处理架322包含十二个烘烤/冷却室800(用BC1-B12标记),这些室800将在下文中结合图18A-18B进行说明。
涂覆/显影室
涂覆/显影室60是可以适用于执行例如图3A-3C所示BARC涂敷步骤510、光刻胶涂敷步骤520、抗反射顶部涂敷步骤530、显影步骤550和/或SAFIERTM涂敷步骤551的处理室。涂覆/显影室60可以大体上构造成两种主要类型的室,即图5A所示涂覆室60A和图5D所示显影室60B(下文中说明)。
图5A是涂覆室60A一种实施例的垂直剖视图,涂覆室60A可以适用于执行BARC涂敷步骤510、光刻胶涂敷步骤和抗反射顶部涂敷步骤530。涂覆室60A可以包含外壳1001、气流分配系统1040、涂覆杯组件1003和流体分配系统1025。外壳1001大体上包含侧壁1001A、底板1001B和顶板1001C。涂覆杯组件1003包含处理区域1004并包含杯1005、可旋转的旋转卡盘1034和抬升组件1030,其中衬底“W”在处理区域1004中受到处理。可旋转的旋转卡盘1034大体上包含旋转卡盘1033、轴1032和旋转电动机1031、以及真空源1015。旋转卡盘1033通过轴1032安装到旋转电动机1031,并包含密封表面1033A,密封表面1033A适于在使衬底旋转时保持衬底。可以用真空源1015产生的真空将衬底保持到密封表面1033A。杯1005由这样的材料制造,所述材料例如塑料材料(例如PTFE、PFA、聚丙烯、PVDF等)、陶瓷材料、涂敷有塑料材料的金属(例如涂敷有PVDF或海拉尔(Halar)等的铝或SST)、或者与从流体分配系统1025输送的处理流体相容的其他材料。在一种实施例中,旋转电动机1031适于将300mm的半导体衬底以约每分钟1转(RPM)到约4000RPM之间转速进行旋转。
抬升组件1030大体上包含致动器(未示出)和导向件(未示出),所述致动器例如气缸或伺服电动机,所述导向件例如线性滚珠轴承滑动件,它们适于将可旋转的旋转卡盘1034升高或降低到期望的位置。因此在处理过程中,抬升组件1030适于将安装在可旋转的旋转卡盘1034上的衬底在杯1005中定位,并适于将衬底抬升到杯1005A的顶部之上以将衬底与位于外壳1001外部的外部机械手(例如未示出的前端机械手108、中央机械手107、后端机械手108等)进行交换。机械手托板611安装到外部机械手,并经过侧壁1001A中形成的访问端口1002进入外壳1001。
气流分配系统1040适于将均匀的气体流经过外壳1001和涂覆杯组件1003输送到排气系统1012。在一种实施例中,气流分配系统1040是HEPA过滤器组件,它大体上包含HEPA过滤器1041和过滤器外壳1044。HEPA过滤器1041和过滤器壳体1044形成了增压室(plenum)1042,增压室1042使得从气体源1043进入的气体能够均匀地流经HEPA过滤器1041、外壳1001和涂覆杯组件1003。在一种实施例中,气体源1043适于将气体(例如空气)以期望的温度和湿度输送到处理区域1004。
流体分配系统1025大体上包含一个或多个流体源组件1023,流体源组件1023将一种或多种溶液输送到安装于旋转卡盘1033上的衬底的表面。图5A图示了单一的流体源组件1023,它包含排放喷嘴1024、供应管道1025、泵1022、过滤器1021、回吸阀1020和流体源1019。支持臂致动器1028适于将排放喷嘴1024和分配臂1027移动到期望的位置,使得处理流体可以从排放喷嘴1024分配到衬底表面上的期望位置。可以利用泵1022将处理流体输送到排放喷嘴1024。泵1022从流体源1019除去处理流体,经过过滤器1021、回吸阀1020和排放喷嘴1024排放处理流体并将其排放到衬底表面上。可以在由旋转卡盘1033使衬底“W”旋转的同时把从排放喷嘴1024排放的处理溶液分配到衬底“W”上。在期望量的处理流体已被分配在衬底上之后,回吸阀1020适于将一定量的溶液从排放喷嘴1024抽回,以防不期望的材料滴落在衬底表面上。所分配的处理溶液被旋转到离开(spin off)衬底边缘、由杯1005的内壁收集并转移到排水管1011,最终转移到废弃物收集系统1010。
光刻胶厚度控制室
图5B是涂覆室60A另一种实施例的侧视图,该涂覆室60A适于执行例如BARC涂敷步骤510、光刻胶涂敷步骤和抗反射顶部涂敷步骤530。
图5B所示实施例适于在沉积步骤的一个或多个阶段期间在衬底周围形成外壳,以控制溶剂从衬底上沉积的材料表面的蒸发,从而提高厚度均匀性处理结果。传统上,通常的旋涂式涂敷处理中进行的厚度均匀性控制依赖于控制衬底旋转速度和排气流速以控制最终沉积层的均匀蒸发。厚度均匀性控制取决于处理步骤期间整个衬底表面的空气流。随着涂敷室60A中所处理的衬底直径增大,由于整个衬底表面的空气动力学变化的可能性增大(例如从层流转变成湍流),所以处理期间的旋转速度通常被降低。相信是由于空气与衬底表面的相互作用对空气造成的转矩引起“泵送效应(pumping effect)”,使空气速度随着衬底半径变化,而造成空气动力学变化。带来的一个问题是完成涂敷步骤所需花费的时间取决于将所需的溶剂量扩展到变薄的光刻胶层并从其除去的能力,这种能力是衬底旋转速度的函数。旋转速度越高,处理时间就越短。因此,在一种实施例中,在衬底周围设置外壳来控制衬底表面周围的环境,以改善对于更大衬底尺寸的厚度均匀性控制。相信改善的均匀性控制是由于对溶剂蒸发的控制,因为衬底周围形成的外壳倾向于防止气体在整个衬底表面上流动,从而使光刻胶能够在可察觉量的溶剂从光刻胶蒸发之前进行扩展。
本实施例中的涂敷室60A大体上包含外壳1001、气流分配系统1040、涂覆杯组件1003、处理外壳组件1050和流体分配系统1025。图5B所示实施例包含若干个上文中结合图5A中涂覆室60A所述的部件,因此图5B中为了清楚起见而对相同或类似部件再次使用了那些标号。应当明白,在本实施例中,图5A所示旋转卡盘1033被外壳涂覆卡盘1056代替,外壳涂覆卡盘1056具有外壳涂覆卡盘密封表面1056A和卡盘基座区域1056B,衬底放在所述外壳涂覆卡盘密封表面1056A上。
图5B图示了处于处理位置的处理外壳组件1050。应当注意,在“交换位置”(未示出),利用安装到外部机械手(例如前端机械手108、中央机械手107等)的机械手托板611将外壳盖1052与卡盘基座区域1056分开,使得衬底可以被传送到外壳涂覆卡盘1056。处理外壳组件1050包含外壳盖1052和卡盘基座区域1056B,在衬底周围形成了处理区域1051,从而能够在涂敷处理的不同阶段对处理环境进行控制。处理外壳组件1050大体上包含外壳盖1052、旋转卡盘1033、旋转组件1055和抬升组件1054。抬升组件1054大体上包含抬升致动器1054A和抬升安装架1053,它们可以连接到旋转组件1055和外壳1001的表面。抬升致动器1054A大体上包含致动器(未示出)和导向件,致动器例如气缸或DC伺服电动机,导向件例如线性滚珠轴承滑动件,致动器和导向件适于将处理外壳组件1050中包含的除了旋转卡盘1033外的所有部件升高和降低。
旋转组件1055大体上包含一个或多个旋转轴承(未示出)和壳体1055A,它们适于使外壳盖1052能够随着外壳涂覆卡盘1056的旋转而旋转。在一种实施例中,随着旋转电动机1031旋转旋转卡盘1033,壳体1055A也由于外壳盖1052与卡盘基座区域1056B的接触产生的摩擦而旋转。外壳盖1052通过盖轴1052A连接到旋转轴承。在一种实施例中,通过抬升组件1030、抬升组件1054的运动或这两个抬升组件一起运动,而引起外壳盖1052与卡盘基座区域1056B之间的接触。
在一种实施例中,当外壳盖1052与卡盘基座区域1056B接触时,形成密封,从而在衬底周围产生密闭的处理环境。在一种实施例中,处理区域1051的体积应当相当小,以控制溶剂从衬底表面上光刻胶的蒸发,例如,外壳盖1052和/或卡盘基座区域1056B到衬底之间的间隙可以约为3mm。
在一种实施例中,在外壳盖1052与卡盘基座区域1056B接触、且衬底以第一旋转速度旋转的时候,通过盖轴1052A中间隙孔(未示出)中的管道(未示出)将光刻胶材料输送到处理区域1051。在此步骤中,光刻胶会由于旋转造成的离心力影响而易于扩展,但是光刻胶改变特性的能力由于衬底表面上形成了富有溶剂的蒸气而受到限制。在分配光刻胶之后,可以使外壳盖1052和外壳涂覆卡盘1056随后以第二旋转速度旋转,直到光刻胶变薄到期望厚度为止,此时将外壳盖1052从外壳涂覆卡盘1056的表面抬升,使光刻胶中剩余的溶剂能够逸出并从而完成最终的溶剂蒸发处理。
在另一种实施例中,用传统的挤压分配处理(例如使光刻胶分配臂(未示出)扫过静止衬底)来分配光刻胶,随后将衬底封闭在处理外壳组件1050中并以期望速度旋转,以获得期望厚度的均匀层。在获得期望厚度之后,将外壳盖1052从外壳涂覆卡盘1056分开,以使溶剂从光刻胶完全蒸发。
在外壳盖1052的一种实施例中,在外壳盖1052的外壁中形成多个孔1052B,以使处理期间过多的光刻胶能够离开处理区域1051。在这种构造中,仍然由于流动空气缺乏入口位置和/或出口位置而防止或最小化了衬底表面上的气流。在这种构造中,由于作用在空气和光刻胶上的离心力会使它们流出孔1052B,所以处理区域1051中的压力会降低到环境压力以下。
在一种实施例中,通过改变衬底、外壳盖1052和外壳涂覆卡盘1056的旋转速度,可以在处理的不同阶段改变处理区域中的压力以控制光刻胶的蒸发。
在一种实施例中,在处理期间将富有溶剂的蒸气通过盖轴1052A中的孔注入到处理区域1051中,从而控制光刻胶层的最终厚度和均匀性。
用于溶剂/显影剂分配的喷头流体分配系统
为了在衬底表面上获得均匀和可重复的光刻胶层,现有技术的设计方案强调了涂覆室杯几何形状设计、使衬底旋转的方法、使经过室的处理区域的空气流改变、以及改善光刻胶层分配处理的光刻胶分配部件设计。这些设计以不同程度的复杂性和成本实现了一种程度的均匀性。由于需要减少CoO和不断提高的处理均匀性需求,还需要进一步的提高。
图5C图示了涂覆/显影室60的一种实施例,它包含流体分配装置1070以增强处理均匀性结果,所述流体分配装置适于在涂敷处理期间将流体输送到衬底表面。在本发明的一个方面,流体是光刻胶层中的溶剂,以使蒸发处理可以受到控制。在这种构造中,可以使用抬升组件1074使流体分配装置1070相对于衬底表面升高或降低,从而可以在流体分配装置1070与衬底表面之间获得最佳的间隙,以便能够用所分配的流体使所沉积的层表面均匀地浸透。在一种实施例中,该间隙在约0.5mm到约15mm之间。抬升组件1074大体上包含抬升致动器1074A和抬升安装架1073,它们可以连接到喷头组件1075和外壳1001的表面。抬升致动器1074A大体上包含致动器(未示出)和导向件(未示出),致动器例如气缸或DC伺服电动机,导向件例如线性滚珠轴承滑动件,致动器和导向件适于使流体分配装置1070中包含的所有组件升高或降低。
图5C图示了处于处理位置的流体分配装置1070。流体分配装置1070包含喷头组件1075,在衬底与流体分配装置1070之间形成了处理区域1071,从而可以在涂敷处理的不同阶段对处理环境进行控制。流体分配装置1070大体上包含喷头组件1075、流体源1077和抬升组件1074。
喷头组件1075大体上包含喷头基座1072、轴1072A和喷头板1072D。轴1072A连接到喷头基座1072并具有形成于轴中的中心孔1072B,使从流体源1077输送的流体能够流入形成于喷头基座1072内的增压室(plenum)1072C。连接到喷头基座1072的喷头板1072D包含形成于其中的多个孔1072F,这些孔将增压室1072C(以及将流体源1077)连接到喷头板1072D的下表面1072E。在处理期间,从流体源1077将处理流体分配到中心孔1072B中,处理流体从该处进入增压室1072C,然后流经多个孔1072F并进入形成于衬底与下表面1072E之间的处理区域1071。
在一种实施例中,多个孔1072F的孔的大小、孔的数目、以及在喷头板1072D上的分布被设计成使得将处理流体均匀地输送到处理区域1071。在另一种实施例中,多个孔1072F的孔的大小、孔的数目和在喷头板1072D上的分布被设计成在喷头板1072D上间隔不均匀,以便将期望的、不均匀分布的处理流体输送到处理区域1071。不均匀的方式可能有利于对空气动力学或者可能造成所沉积的光刻胶层厚度变化的其他效应所造成的厚度变化进行校正。
在一种实施例中,喷头组件1075包含电动机1072G和旋转密封件1072H,它们适于在处理期间旋转并将处理流体输送到喷头组件1075。旋转密封件1072H可以是动态唇式密封件,或者本领域公知的其他类似装置。
光刻胶喷嘴清洗系统
图6A-6B是图示了上述流体源组件1023一种实施例的立体图,该流体源组件还包含密封器皿组件1096。为了减小排放喷嘴1024污染的可能性,以尽可能地防止供应管1026中的处理流体变干和/或对流体源组件1023的各个部件(例如排放喷嘴1024、供应管出口1026A等)进行清洁,在空闲时间或者各处理步骤之间,将排放喷嘴1024定位在器皿开口1095A上方(参见图6A)以在环境区域1099中形成受控区域。这种构造在使用处理流体(例如光刻胶)的情况下可能较好,因为处理流体可以容易地干燥并造成后续处理步骤中将排放喷嘴1024带到衬底表面上时脱落带来的颗粒问题。在一种实施例中,图6A-6B所示的排放喷嘴1024包含喷嘴主体1024A,喷嘴主体1024A设置成容纳和支撑供应管1026,从而使处理流体可以经过供应管出口1026A清洁地、可重复地分配。
图6A图示了这样的构造:排放喷嘴1024与密封器皿组件1096分开,使之可以旋转以将处理流体分配到衬底表面上。密封器皿组件1096大体上包含一个或多个清洗喷嘴1090、器皿1095、排放管1094和器皿开口1095A。清洗喷嘴1090连接到管道1090A,并与一个或多个流体输送源1093(图6A-6B中示出了两个,见标号1093A-1093B)连通。排放管1094大体上连接到废液收集系统1094A。
参考图6B,为了减小处理期间的衬底污染,使用连接到流体输送源1093的一个或多个清洗喷嘴1090对排放喷嘴1024和供应管出口1026A进行清洁,所述流体输送源1093可以将一种或多种清洁溶液输送到喷嘴。
在一种实施例中,清洁溶液是可以将完成分配处理之后剩余的剩余光刻胶除去的溶液。喷嘴的数目和定向可以布置成使得排放喷嘴1024和供应管出口1026A的所有侧面和表面都得到清洁。在进行清洁之后,器皿1095的环境区域1099中保留的剩余蒸气可还可以用于防止供应管1026中剩余的一种或多种处理流体变干。
使用光刻胶温度控制的要点
为了确保均匀的、可重复的涂敷处理,经常要对所分配的光刻胶温度进行严密控制,因为所分配光刻胶的温度会对特性和处理结果造成很大影响。对于不同的光刻胶,最佳分配温度可能改变。因此,由于涂覆室60A可以包含多个流体源组件1023来运行包含不同光刻胶材料的不同处理配方,所以需要对每个流体源组件1023的温度进行独立控制,以确保持续获得期望的处理结果。本发明的实施例提供了各种部件和方法,用于在涂敷或显影处理中将光刻胶分配到衬底表面上之前对其温度进行控制。
在一种实施例中,如图6A和图6B所示,排放喷嘴1024包含热交换装置1097,它适于对喷嘴主体1024A、供应管1026和供应管1026中包含的处理流体进行加热和/或冷却。在一种实施例中,热交换装置是电阻加热器,其适于控制处理流体的温度。在另一种实施例中,热交换装置1097是流体热交换器,它适于利用流体温度控制装置(未示出)来控制处理流体的温度,所述流体温度控制装置使工作流体流经流体换热器以控制处理流体的温度。在另一种实施例中,流体热交换装置是适于对工作流体进行加热或冷却的热电装置。尽管图6A和图6B示出了与喷嘴主体1024A连通的热交换装置1097,但本发明的其他实施例可以包括这样的结构:其中热交换装置1097与供应管1026和/或喷嘴主体1024A接触以有效地控制处理流体的温度。在一种实施例中,用第二换热器1097A对供应管1026的一段长度进行温度控制,以确保供应管内部体积1026B中包含的所分配的处理流体的全部体积都会以期望的温度在接下来的处理步骤期间分配到衬底表面上。第二换热器1097A可以是如上所述的电加热器、热电装置和/或流体热交换装置。
在一种实施例中,对密封器皿组件1096进行温度控制,以确保当排放喷嘴1024位于器皿开口1095A上方时(参见图6B),喷嘴主体1024A和供应管1026中的处理流体的温度维持在恒定温度。参考图6A-6B,可以用连接到器皿1095壁上的器皿热交换装置1098对器皿1095进行加热或冷却。器皿热交换装置1098可以是如上所述的电加热器、热电装置和/或流体热交换装置,它与系统控制器101结合使用,从而控制器皿1095的温度。
在一种实施例中,对清洗喷嘴1090以及所连接的管道1090A进行温度控制,以确保喷洒到排放喷嘴1024和供应管出口1026A的清洁溶液处于期望温度,这样在清洁处理期间不对供应管1026中的处理流体进行加热或冷却。
涂覆喷嘴定位系统
为了确保均匀和可重复的处理结果,优选地对光刻胶分配到衬底表面上的位置进行严密控制。光刻胶所分配到的衬底表面上的位置可能影响所沉积的光刻胶均匀性。因此,经常使用通常很昂贵的支持臂致动器1028来精确地控制分配臂1027的位置,所述支持臂致动器1028能够对排放喷头1024进行精密定位。带来的一个问题是在于,涂覆室60A常常具有多个排放喷嘴1024来排放多种不同的光刻胶材料,由于需要对许多分配臂1027进行精确或精密的控制,大大增加了涂覆室60A的成本和复杂性。因此,本发明的各种实施例提供了使用单一分配臂1027的装置和方法,因为只有一个臂要校准并精确控制,所以可以容易地进行校准。在这种构造中,用穿梭组件1180(参见图7A)使各个流体源组件1023中的多个排放喷嘴1024与单一分配臂1192进行交换。在一种实施例中,采用分配臂1192使得只需控制一个自由度(例如单一的线性方向(z方向))。因此这种构造使得可以对排放喷嘴1024的位置进行更加精确和可重复的控制,并降低了臂复杂性、系统成本、可能的衬底刮擦和校准需求。
图7A是涂覆室60A中的分配臂系统1170一种实施例的俯视图,其使用具有单一自由度的分配臂1192。在这种构造中,分配臂系统1170大体上包含分配臂组件1190、穿梭组件1180和载具组件1160。分配臂组件1190大体上包含分配臂1192、形成于分配臂1192中或其上的喷嘴安装位置1193、以及致动器1191。在一种实施例中,在穿梭组件1180将排放喷嘴1024放在喷嘴安装位置1193上时,采用喷嘴保持构件1194来握持排放喷嘴1024。喷嘴保持构件1194可以是弹簧加载的或者气动致动的装置,它握持排放喷嘴上的构件或与之互锁(interlock)。例如,致动器1191是气缸或能够将分配臂1192升高或降低的其他装置。在一种实施例中,致动器1191还包含线性导向件(未示出),其有助于在分配臂1192从一个位置向另一个位置运动时对其定位或运动进行控制。
载具组件1160大体上包含喷嘴支撑件1161、两个或更多个流体源组件1023、以及旋转致动器(未示出),其中流体源组件1023包含排放喷嘴1023和供应管1026(图中示出了六个排放喷嘴1024和流体源组件1023)。使用来自系统控制101的命令,用旋转致动器将喷嘴支撑件1161和所有的排放喷嘴1024及其相关供应管1026旋转到期望的位置。
穿梭组件1180适于从载具组件1160拾取排放喷嘴1024,然后进行旋转将排放喷嘴1024转移到分配臂1192上的喷嘴安装位置1193。穿梭组件1180大体上包含致动器组件1181、穿梭臂1192和喷嘴转移构件1183。喷嘴转移构件1183适于与排放喷嘴1024啮合或者将其握持,使之可以离开载具组件1160并被转移到喷嘴安装位置1193,然后在处理完成之后从喷嘴安装位置1193返回载具组件1160。致动器组件1181大体上包含一个或多个致动器,所述致动器适于将穿梭组件1180抬高和降低并将穿梭臂1182旋转到期望位置。致动器组件1181例如可以包含下列装置中的一个或多个以完成抬升任务:气缸、连接到丝杠的DC伺服电动机、DC伺服直线电动机。致动器组件1181还可以包含例如下列装置中的一个或多个以完成旋转任务:气缸、步进电机或DC伺服电动机。
在工作中,穿梭臂1182从其原始位置(参见图7A中的标号“A”)旋转到载具组件1160上方的位置,然后垂直运动直到到达喷嘴拾取位置(未示出)。随后载具组件1160旋转(参见标号“B”),使排放喷嘴1024与喷嘴转移构件1183啮合。然后穿梭臂1182垂直运动,将排放喷嘴1024从载具组件1160分开,然后旋转,直到排放喷嘴1024位于分配臂1192中的喷嘴安装位置1193上方。穿梭臂1182垂直运动,直到其将排放喷嘴1024放在喷嘴安装位置1193上。然后穿梭臂1182垂直运动,随后旋转回原始位置(参见标号“A”)。然后,分配臂组件1190中的致动器1191使排放喷嘴运动到衬底表面上方的期望位置(参见标号“W”),从而可以开始衬底处理步骤。为了移走排放喷嘴1024,可以逆序进行这些步骤。
图7B图示了分配臂系统1170的另一种实施例,其中分配臂组件1190具有两个自由度,例如旋转自由度或单一的线性自由度(x方向)、以及垂直自由度(z方向)。作为图7A所示实施例的一部分的分配臂组件1190不是图7B所示分配臂系统1170的一部分,因此降低了涂覆室60A的复杂性。在一种实施例中,喷嘴保持构件1184适于在排放喷嘴1024位于喷嘴转移构件1183中时对其进行握持或保持。图7B还图示了喷嘴保持构件1184的另一种可能构造,它可以有利于保持和转移排放喷嘴1024。
在工作中,穿梭臂1182从其原始位置(参见图7B中的标号“A”)旋转到载具组件1160上方的位置,然后垂直运动直到达到喷嘴拾取位置(未示出)。然后载具组件1160旋转(参见标号“B”),使排放喷嘴1024与喷嘴转移构件1183啮合。然后穿梭臂1182垂直运动,将排放喷嘴1024从载具组件1160分开,然后旋转直到排放喷嘴1024位于衬底表面上方的期望位置上。穿梭臂1182垂直运动,直到其达到衬底表面上方的期望位置(参见标号“W”),从而可以开始衬底处理步骤。为了移走排放喷嘴1024,可以逆序执行这些步骤。
在一种实施例中,载具组件1160可以包含多个密封器皿组件1096(图7A-7B中未示出(参见图6A-6B)),这些密封器皿组件受到温度控制,以确保喷嘴主体1024A和供应管1026中的处理流体在等待被转移到穿梭组件1180并带到衬底表面上方的过程中保持在恒定温度。
显影室
参考图5D,它是显影室60B一种实施例的侧视图,显影室60B可以用于执行例如显影步骤550以及SAFIERTM涂敷步骤551。在一种实施例中,显影室60B大体上包含涂覆室60A中包含的所有部件,因此与参考涂覆室60A说明的部件相同或相似的一些显影室部件60B具有同样的标号。因此,在适当之处将使用相同的标号。
在一种实施例中,显影室60B包含上述流体分配装置1070,适于在显影处理期间将均匀流动的显影处理流体输送到衬底表面。在一种实施例中,多个孔1072F的孔的大小、孔的数目和分布设计成将显影处理流体均匀地输送到处理区域1071,处理区域1071形成于衬底与流体分配组件1070底面之间。在另一种实施例中,多个孔1072F中孔的大小、孔的数目和分布被设计成向处理区域1071输送不均匀的显影处理流体分配,处理区域1071形成于衬底与流体分配装置1070的底面之间。
显影终点检测机构
图8A是显影室60B一种实施例的侧视图,显影室60B包含显影终点检测检测器组件1400。显影终点检测器组件1400使用激光器及一个或多个检测器来执行散射测定式技术,以确定显影步骤550的终点。在一种实施例中,来自激光器1401的单一波长辐射或光束(参见标号“A”)以相对于衬底表面小于直角的角度照射到衬底表面上,其中衬底表面上具有暴露的光刻胶层。光束“A”从衬底表面反射,所反射的辐射“B”的强度由检测器1410检测。在一种实施例中,检测器1410定向为接收从表面的原始反射,因此与入射光束对准(例如相对于表面的相同角度和相同方向)。由于曝光步骤538期间照射光束与光刻胶中形成的图案之间的干涉,所检测到的辐射强度会随着显影步骤550的进行而变化。在显影步骤550期间显影溶解光刻胶中的可溶部分时产生这种反射光强度变化,因此造成了出现“栅”状图案,这增强了与照射光束的干涉。因此,与光刻胶图案的干涉造成了照射光束的散射,从而造成了所检测的主反射减小。在一种实施例中,当检测器1410测得的反射强度改变逐渐接近零时,终点被检测到。
衬底表面上投射有来自激光器1401的光束的区域定义为检测区域。
在一种实施例中,改变检测区域的大小或对其进行控制,使检测到的信号中包含的噪声量最小化。检测到的信号中的噪声可能由处理期间检测区域出现的图案形貌变化产生。
在一种实施例中,用可调谐激光器代替单一波长的激光器,以更容易地对随着显影处理进行的光刻胶图案清晰度改变进行检测。干涉的量取决于所形成的“栅”的大小和入射辐射的波长。在另一种实施例中,用多个检测器(参见标号1410-1412)能够检测原始反射和被散射的辐射量,以帮助确定显影终点。在另一种实施例中,用CCD(电荷耦合器件)阵列来监视散射和被反射辐射中的强度改变。在一种实施例中,为了防止处理期间衬底表面上保持的处理流体反射所发射的辐射而产生噪声,可以用狭缝来防止这种反射到达检测器。
对于衬底表面上通常已经带有图案的产品衬底,可以使用图8B所示的步骤。该处理步骤包括在执行显影步骤550之前测量被散射辐射的初始强度(标号1480)。然后在显影处理期间测量此强度,并将其与初始数据进行比较,以确定衬底表面存在的图案造成的影响(标号1482)。可能只有对光刻胶分布有要求时才需要这种方法。如果显影处理期间发生的强度改变都是需要的,则只需使用单一波长,而一般不需要考虑与引起散射有关的信息。
如果需要图案的详细信息,则需要对显影表面处可能改变的折射进行主动校正(图8C中标号1484)。主动校正针对由于外部振动造成的显影流体表面变化进行调整,并通过对多个小反射镜(标号1425-1427)进行位置调整以补偿角度改变而进行。图8C图示了一个这样的反射镜,还示出了通过输入垂直光束(标号“C”)而获得入射光束“A”的折射改变信息。具体地说,随着显影流体的表面短时间从平坦和水平的情况偏离,利用分束器1452在检测器1453中检测到来自激光器1451的激光束(标号“C”)的法向反射。在这种构造中,检测器1453可以是CCD阵列,它能够感知由于光束“C”照射到显影流体表面的角度改变而造成的反射光束角度改变。系统控制器101与CCD阵列结合使用,能够检测CCD阵列上的峰值强度位置的改变,并从而得知反射镜改变了多少,因而可以调整主动反射镜1425-1427的角度并因此可以将反射光束“B”的位置发送到检测器1410-1412中的一个或多个。这种反射的空间位置短时间偏离应当与显影流体表面的偏差有紧密联系。因此,通过使用适当的控制系统检测反射光束的位置改变,可以通过使用主动定位反射镜(标号1425-1427)对反射光束进行空间校正。
主动反射镜1425-1427可以很小、很紧凑,例如可以从Dallas,Texas的TI买到的微反射镜芯片上所用的。为了清楚起见,图8C将它们表示得间隔较宽。主动反射镜被设计成对如上所述造成光束偏转的显影表面改变进行补偿。
双子涂覆室和显影室
图9A-9B是双子涂覆/显影室350一种实施例的俯视图,该双子涂覆/显影室350包含两个分开的处理室370以及中央区域395。这种构造的优点是因为它使得两个室中的一些共用部件可以共享,从而提高了系统可靠性并降低了系统成本、复杂性和组合工具的占地面积。在一种实施例中,处理室370大体上包含上文中结合涂覆室60A或显影室60B所述的所有处理部件,只是两个室被调整成共享流体分配系统1025。中央区域395包含隔板380和多个喷嘴391,多个喷嘴391包含在喷嘴保持器组件390中。
如上所述,涂覆室或显影室中所用的流体分配系统1025可以包含一个或多个流体源组件1023,流体源组件1023将一种或多种处理流体输送到旋转卡盘1033上安装的衬底表面。流体源组件1023中包含的各个喷嘴391通常连接到供应管1026、泵1022、过滤器1021、回吸阀1020和流体源1019,并适于分配一种类型的处理流体。因此,每个流体源组件1023可以用于左处理室或右处理室370,从而降低了各个处理室中所需的冗余。
尽管图9A-9B图示了一种喷嘴保持器组件390包含五个喷嘴391的构造,但是在不脱离本发明基本范围的情况下,其他实施例中喷嘴保持器组件390可以包含更少数目的喷嘴或更多数目的喷嘴。
图9A是涂覆/显影室350的俯视图,其中喷嘴臂组件360位于右处理室370上方,将处理流体分配到保持在旋转卡盘1033上的衬底“W”上。喷嘴臂组件360可以包含臂362和喷嘴保持机构364。喷嘴臂组件360连接到致动器363,致动器363可用于将喷嘴臂组件360转移和定位到沿着导向机构361的任何位置。在一种实施例中,致动器适于使喷嘴臂组件360垂直运动,以在处理中将喷嘴391正确地定位到衬底上方,还使喷嘴保持机构364能够从喷嘴保持组件390拾取和放下喷嘴391。系统控制器101适于控制喷嘴臂组件360的位置,使得喷嘴保持机构365可以从喷嘴保持组件390拾取和放下喷嘴391。隔板380适于垂直运动,以使处理期间一个处理室370相对于中央区域395关闭和隔离,并从而使之相对于另一处理室370关闭和隔离,以防处理期间衬底的交叉污染。在一个方面,隔板380适于在处理期间将一个处理室370相对于中央区域395密封性隔离并从而相对于另一处理室370密封性隔离。可以使用传统的o形环和/或其他唇状密封件使隔板能够将两个处理室密封性隔离。
图9B是双子涂覆/显影室350的俯视图,其中喷嘴臂组件360位于左处理室370上方,将处理流体分配到旋转卡盘1033上保持的衬底上。
在一种未示出的实施例中,双子涂覆/显影室350包含两个喷嘴臂组件360,这些喷嘴臂组件360适于访问中央区域395中的喷嘴391并将喷嘴定位到衬底表面上方。在这种构造中,每个处理室可以通过共享泵并从两个不同喷嘴391分配来使用相同处理流体处理两个衬底,或者可以将两种不同处理流体分配到各个室中。
冷却室
图10A是图示了冷却室80一种实施例的垂直剖视图,该冷却室80可以用于执行后BARC冷却步骤514、后光刻胶冷却步骤524、后顶部涂敷冷却步骤534、后PEB冷却步骤542和/或后显影冷却步骤554。冷却室80大体上包含外壳86、冷却板组件83、支撑板84和抬升组件87。外壳86由多个壁(标号86B-86D以及标号85)形成,这些壁将冷却室80中执行的处理与周围环境隔离开,从而形成处理区域86A。在本发明的一个方面,外壳适于进行热隔离,并使冷却室80中大气污染的可能性最小化。
冷却板组件83大体上包含热交换装置83A和冷却板块83B。冷却板块83B是导热材料块,并由热交换装置83A进行冷却以执行上述各种冷却处理(例如,前BARC冷却步骤509、后BARC冷却步骤514、后光刻胶冷却步骤524等)。冷却板块83B是导热的,以提高处理期间的温度均匀性。在一种实施例中,冷却板块83B可以由铝、石墨、氮化铝或其他导热材料制成。在一种实施例中,冷却板块83B的与衬底“W”接触的表面涂敷有注入了Teflon的(Teflon impregnated)阳极氧化铝、碳化硅或其他材料,所述材料在与冷却板块83B接触时能够最小化衬底背面微小颗粒的产生。在一种实施例中,衬底“W”位于嵌入冷却板块83B表面中的销(未示出)上,使得衬底与冷却板块83B之间只维持小间隙,以减小颗粒产生。在另一种实施例中,如图10A所示,热交换装置83A由多个通道83C组成,这些通道形成于冷却板块83B的表面中,并利用持续流经通道83C的热交换流体对这些通道进行温度控制。流体温度控制器(未示出)用于控制热交换流体并从而控制冷却板块83B的温度。热交换流体可以是例如全氟聚醚(例如Galden),其温度被控制到约5℃到约20℃之间的温度。热交换流体还可以是以约5℃到约20℃之间的期望温度输送的冷却水。热交换流体还可以是控温气体,例如氩或氮气。
在冷却板的一种实施例中,热交换装置83A适于对位于冷却板块83B表面上的衬底进行加热和冷却。这种构造可以有好处,因为达到期望的处理设定点温度所需的时间取决于衬底与冷却板块83B之间的温度差。因此,如果将冷却板块83B设定到固定温度并期望将衬底冷却到该固定温度,会花费很长时间来对最后几度进行冷却以达到该固定温度,因为衬底与冷却板块83B之间的温度差较小。如果对冷却板块83B的温度进行主动控制,从而在衬底与冷却板块83B之间维持较大温度差,直到衬底温度处于或接近期望的设定点温度,然后调节冷却板块83B的温度来使衬底温度的欠调(undershoot)或超调(overshoot)量最小化,就可以减小达到期望温度所需的时间。使用传统的温度传感装置(例如热电偶;(未示出))来控制冷却板块83B的温度,所述温度传感装置与系统控制器101结合使用,以改变热交换装置83A从冷却板块83B带走的或输送到冷却板块83B的能量。因此在本实施例中,热交换装置83A既具有加热冷却板块83B的能力,又具有使之冷却的能力。在一种实施例中,热交换装置83A是用于对冷却板块83B进行加热或冷却的热电器件。在一种实施例中,热交换装置83A是下文中结合PEB室130说明的热管设计,其适于对衬底进行加热和冷却。在一种实施例中,还可以使冷却板块83B的质量最小化和/或增大其热导率,以提高控制衬底温度的能力。
支撑板84是大体上支撑冷却板组件83并将其与基座85绝缘的板。大体上,支撑板84可以由绝热材料制成以减小外部热量损失或热量增益,所述绝热材料例如陶瓷材料(例如氧化锆、氧化铝等)。
参考图10A,抬升组件87大体上包含抬升架87A、致动器87B、抬升销板87C、以及三个或更多个抬升销87D(图10A中只示出了两个),它们适于将衬底“W”从延伸的机械手托板(未示出)升高或降低,并在机械手托板缩回时将衬底放在冷却板块83B的表面上。机械手托板(未示出)适于经过外壳86的侧壁86D中的开口88进入冷却室80。为了防止不同衬底之间的处理变动以及衬底在室中的失准给衬底造成损坏,机械手被校准为将衬底从转移位置拾取和放下,转移位置通常对准抬升销之间的中心点。在一种实施例中,经过基座85中的抬升销孔89运动的三个抬升销、支撑板84和冷却板组件83适于利用致动器87B使衬底升高和降低。致动器可以是气缸或其他可用于升高和降低衬底的传统装置。
烘烤室
图10B是图示了烘烤室90一种实施例的侧视图,该烘烤室90适于执行后BARC烘烤步骤512、后光刻胶涂敷烘烤步骤522、后顶部涂敷烘烤步骤532和/或后显影烘烤步骤552。烘烤室90大体上包含外壳96、烘烤板组件93、支撑板94和抬升组件97。外壳96大体上包含多个壁(标号96B-96D以及元件95),这些壁易于将烘烤室90中执行的处理与周围环境隔离开以形成处理区域96A。在本发明的一个方面,外壳适于将烘烤室90与外界环境热隔离开,并使其污染最小化。
烘烤板组件93大体上包含热交换装置93A和烘烤板块93B。烘烤板块93B是导热材料块,它由热交换装置93A加热以执行上述各种烘烤处理(例如后BARC烘烤步骤512、后光刻胶涂敷烘烤步骤522等)。烘烤板块93B是导热的,以提高处理期间的温度均匀性。在一种实施例中,烘烤板块93B可以由铝、石墨、氮化铝或其他导热材料制成。在一种实施例中,烘烤板块93B与衬底“W”接触的表面涂敷有注入了Teflon的阳极氧化铝、碳化硅或其他材料,所述材料在与烘烤板块93B接触时能够最小化衬底背面微小颗粒的产生。在一种实施例中,衬底“W”位于嵌入烘烤板块93B表面中的销(未示出)上,使得衬底与烘烤板块93B之间只维持小间隙,以减小颗粒产生。在另一种实施例中,热交换装置93A是热电装置,用于对烘烤板块93B进行加热。在另一种实施例中,如图10B所示,热交换装置93A由多个通道93C组成,这些通道形成于烘烤板块93B的表面中,并利用持续流经通道93C的热交换流体对这些通道进行温度控制。流体温度控制器(未示出)用于控制热交换流体并从而控制烘烤板块93B的温度。热交换流体可以是例如全氟聚醚(例如Galden),其温度被控制到约30℃到约250℃之间的温度。热交换流体还可以是控温气体,例如氩或氮气。
支撑板94是大体上支撑烘烤板组件93并将其与基座95绝缘的板。大体上,支撑板94可以由绝热材料制成以减小外部热量损失,所述绝热材料例如陶瓷材料(例如氧化锆、氧化铝等)。
参考图10B,抬升组件97大体上包含抬升架97A、致动器97B、抬升销板97C、以及三个或更多个抬升销97D(图10B中只示出了两个),它们适于将衬底“W”从延伸的机械手托板(未示出)升高或降低,并在机械手托板缩回时将衬底放在烘烤板块93B的表面上。在一种实施例中,经过基座95中的抬升销孔99运动的三个抬升销、支撑板94和烘烤板组件93适于利用致动器97B使衬底升高和降低。致动器可以是气缸或其他可用于升高和降低衬底的传统装置。机械手托板(未示出)适于经过外壳96的侧壁96D中的开口98进入烘烤室90。
HMDS室
图10C是图示了HMDS处理室70一种实施例的侧视图,该HMDS处理室70适于执行HMDS处理步骤511。在一种实施例中,如图10C所示,HMDS处理室70包含图10B所示烘烤室90所包含的一些部件,因此HMDS处理室70的一些部件与上文中参考烘烤室90说明的那些部件相同或相似。因此,在适当之处使用了相同标号。
HMDS处理室70也包含盖子组件75,盖子组件75用于形成密封处理区域76,在该区域中,处理气体被输送到由HMDS烘烤板组件73加热的衬底“W”。HMDS烘烤板组件73大体上包含热交换装置73A和HMDS烘烤板块73B。HMDS烘烤板块73B是导热材料块,并由热交换装置73A加热以执行上述各种HMDS处理步骤。HMDS烘烤板块73B是导热的,以提高处理期间的温度均匀性。在一种实施例中,HMDS烘烤板块73B可以由铝、石墨、氮化铝或其他导热材料制成。在一种实施例中,HMDS烘烤板块73B与衬底“W”接触的表面涂敷有注入了Teflon的阳极氧化铝、碳化硅或其他材料,所述材料在与HMDS烘烤板块73B接触时能够最小化衬底背面微小颗粒的产生。在一种实施例中,衬底“W”位于嵌入HMDS烘烤板块73B表面中的销(未示出)上,使得衬底与MHDS烘烤板块73B之间只维持小间隙,以减小颗粒产生。在一种实施例中,热交换装置是热电装置,用于加热HMDS烘烤板块73B。在另一种实施例中,如图10C所示,热交换装置73A由多个通道73C组成,这些通道形成于HMDS烘烤板块73B的表面中,并利用持续流经通道73C的热交换流体对这些通道进行温度控制。流体温度控制器(未示出)用于控制热交换流体并从而控制HMDS烘烤板块73B的温度。热交换流体可以是例如全氟聚醚(例如Galden),其温度被控制到约30℃到约250℃之间的温度。热交换流体还可以是控温气体,例如氩或氮气。
盖子组件75大体上包含盖子72A、一个或多个o形环密封件72C、和致动器组件72。致动器组件72大体上包含致动器72B和o形环密封件72D。o形环密封件72D设计成将HMDS处理区域77与HMDS处理室70外部的环境隔离开。致动器72B大体上适于升高和降低盖子72A,使得衬底可以转移到抬升组件97中的抬升销97D或从其转移。盖子72A适于用盖子72A中(或HMDS基座74上)保持的o形环82D在HMDS基座74之间形成密封以形成处理区域76,并防止HMDS处理步骤511期间使用的处理气体逸出到HMDS处理区域77中。
在处理期间,致动器72B将盖子72A降低,从而在盖子72A、o形环密封件72C和HMDS基座74之间形成密封以形成气密性密封。处理气体输送系统71将一种或多种处理气体输送到处理区域76以执行HMDS处理步骤511。为了输送所述一种或多种处理气体,HMDS蒸发系统71A将HMDS蒸气和载气经过隔离阀71B并经过HMDS基座74中形成的入口71F输送到处理区域,经过衬底表面并离开形成于HMDS基座74中的出口71G到达洗气装置(scrubber)71E。在一种实施例中,在将含有处理气体的HMDS蒸气输送到处理区域之后,从吹扫气体源71C将吹扫气体输送到处理区域76以除去任何剩余的HMDS蒸气。可以用隔离阀71D将吹扫气体源71C从HMDS蒸发系统71A隔离开。在一种实施例中,用传统的气体热交换装置(未示出)对从吹扫气体源71C输送的吹扫气体进行加热或冷却,以控制所注入的吹扫气体的温度。
后曝光烘烤室
在使用正性光刻胶进行曝光处理期间,不可溶的光刻胶材料被转换成可溶的材料。在曝光处理期间,含有光产酸剂(即PAG)的光刻胶成分产生有机酸,所述有机酸能够攻击光刻胶的未曝光区域并影响曝光处理期间光刻胶层中形成的图案清晰度。因此,所产生的光酸的移动会影响对未曝光的光刻胶的攻击,所述移动是扩散占主导的处理。由于对所形成的图案的光酸攻击是扩散占主导的处理,所以攻击速度取决于两个有关变量,即时间和温度。因此对这些变量的控制对于在各个衬底之间确保可接受的临界尺寸(CD)均匀性和一致性很重要。
在一种实施例中,PEB步骤540是在图10B所示烘烤室90中执行的。在另一种实施例中,PEB步骤540是在HMDS处理室70中执行的,其中,从吹扫气体源71C向处理区域76输送控温气体,以对HMDS烘烤板组件73上保持的衬底进行加热或冷却。
在另一种实施例中,PEB步骤540是在PEB室130中执行的。图10D图示了PEB室130的侧视图,其中,处理区域138和PEB板组件133的质量进行了优化以提高热均匀性、允许温度快速改变和/或提高处理的重复性。在一种实施例中,PEB板组件采用低热质(thermal mass)PEB板组件133和热交换源143,以使与PEB板组件133的顶表面133F连通的衬底进行快速的加热和/或冷却。在这种构造中,PEB板组件133大体上包含衬底支撑区域133B、热交换区域133A和基座区域133C,其中衬底支撑区域133B具有顶表面133F,衬底放在顶表面133F上。用温度传感器件(未示出)控制衬底支撑区域133B的温度,该温度传感器件与系统控制器101结合使用,以改变热交换区域133A输送到PEB板组件133的能量。
热交换区域133A是衬底支撑区域133B、基座区域133C和侧壁133G之间包围的区域。热交换区域133A通过一个或多个入口端口133D以及一个或多个出口端口133E与热交换源143连通。热交换区域133A适于接收从热交换源143输送的各种热交换流体,以对与顶表面133F热连通的衬底进行加热或冷却。在本发明的一个方面,使顶表面133F的材料厚度(即热交换区域133A与顶表面133F之间的距离)最小化,并从而使顶表面133F的质量最小化,以允许对衬底进行快速加热和冷却。
在一种实施例中,热交换区域133A可以包含电阻加热器或热电装置来控制衬底的温度。在另一种实施例中,热交换区域133A适于用辐射热输送方法(例如用安装在衬底支撑区域133B下方的卤素灯)来控制PEB板组件133的温度。
PEB板组件133可以用传统方式(例如机加工、焊接、钎焊等)由一种单一材料形成,或者也可以由最佳地利用了各种材料的导热性、热膨胀和热冲击特性的复合结构(例如包含许多不同类材料的结构)形成,以形成优化的PEB板组件133。在一种实施例中,PEB板组件133由导热材料形成,所述导热材料例如铝、铜、石墨、氮化铝、氮化硼和/或其他材料。
热交换源143大体上包含至少一个热交换流体输送系统,该系统适于将热交换流体输送到热交换区域133A。在一种实施例中,如图10D所示,热交换源143包含两个热交换流体输送系统,它们是热源131和冷却源142。
在一种实施例中,热源131是用于对衬底进行加热的传统热管。大体上,热管是通常圆形截面的排空器皿,它可以回填有少量工作流体,工作流体将热量从热源131输送到散热器(例如衬底支撑区域133B并从而传送到衬底)。热输送是通过热源131中的工作流体蒸发和热交换区域133A中的工作流体冷凝而执行的。在工作中,由真空泵(未示出)将热交换区域133A排空,然后能量增加到保持在热源131中的工作流体,在热源131与热交换区域133A之间产生了压力梯度。这种压力梯度迫使蒸气流向冷却器部分,蒸气在该处冷凝,然后由于汽化潜热而释放出能量。然后通过重力或毛细作用使工作流体经过出口端口133E和出口管线131B返回热源131。利用与系统控制器101结合使用的温度传感器件(未示出),通过改变输送到热交换区域133A的能量(例如工作流体流动),来对衬底支撑区域133B的温度进行控制。
在另一种实施例中,热源131将被加热的气体、蒸气或液体从流体源(未示出)输送到热交换区域133A以通过对流换热式处理向衬底输送热量。在这种构造中,被加热的气体、蒸气或液体从入口管线131A经过入口端口133D向热交换区域133A输送,并经过出口端口133E离开热交换区域133A,在出口端口133E处输送到废液收集源142A。废液收集源142A可以是洗气装置或通常的排气系统。
在一种实施例中,如图10D所示,热交换源143还包含冷却源142,冷却源142适于将衬底冷却到期望的温度。在冷却源142的一种实施例中,冷却源142将液氮输送到热交换区域133A,以从衬底支撑区域133B(从而从衬底)带走热量。在另一种实施例中,冷却源向热交换区域133A输送冷却气体、液体或蒸气来冷却衬底。在本发明的一个方面,用冷却源来将衬底冷却到接近环境温度的温度。
在PEB板组件133的另一种实施例中,热交换装置134被置于基座区域133C上以对PEB板组件133进行加热或冷却。在本发明的一个方面,用热交换装置134来冷却基座区域133C,其中基座区域133A经过多个导热柱133H(只示出了两个)与衬底支撑区域133B发生热接触。在这种构造中,可以通过从热源131注入热流体来加热衬底,并使用热交换装置134来进行冷却。这种构造可以不必使用冷却源142来冷却衬底。多个导热柱133H是这样的区域:在该区域中,热量可以从衬底支撑区域133B输送到基座区域133C,或反之。导热柱133H可以布置成使热量能够均匀地从/向热交换装置134流动并使从热源输送的流体能够均匀地与衬底支撑区域133B连通的任何方式、尺寸或密度(例如每单位面积的柱133H数目)。
参考图10D,在本发明的一个方面,盖子组件137置于衬底“W”上方并与PEB板组件133的顶表面接触,从而在衬底周围形成受控环境。盖子组件大体上包含盖子137A和盖子致动器139。盖子致动器139是这样的装置,它可以升高和降低盖子137A,从而使抬升组件140可以向/从组合工具的机械手(未示出)和顶表面133F传送衬底。在一种实施例中,盖子致动器139是气缸。当盖子处于处理位置时,如图10D所示,盖子与顶表面133F接触,从而形成处理区域138,处理区域138包围衬底以产生受控的热环境。
在一种实施例中,盖子组件137可以包含热交换装置137B来控制盖子137A的温度,并从而在衬底周围形成等温环境,以在处理期间提高整个衬底的热均匀性。在这种构造中,热交换装置137B适于以类似于上述的方式用作热管,以便对盖子组件137进行快速的加热和冷却。在一种实施例中,热交换装置137B和热交换区域133A都适于用作热管,以对衬底温度进行快速均匀的控制。在另一种实施例中,热交换装置137B适于用辐射装置(例如加热灯)或对流换热装置(如上所述)来控制盖子组件137的温度。
在盖子组件137的另一种实施例中,加热流体源141通过盖子入口端口137C连接到处理区域138,以输送温度受控的处理流体经过衬底表面然后流出盖子出口端口137D到达废液收集装置141B。加热流体源141通常包含流体源141A、流体加热器141C和废液收集装置141B(例如通常是排气系统或洗气装置)。流体源141A可以在处理期间输送气体或液体以控制衬底温度。在本发明的一个方面,流体源141A可以输送惰性气体,例如氩、氮或氦。
参考图10D,PEB室130大体上包含外壳136、PEB板组件133和抬升组件140。外壳136大体上包含多个壁(标号136B-D以及标号135),其适于将PEB室130中执行的处理从周围环境隔离开。在本发明的一个方面,外壳适于将PEB室130从周围环境热隔离并使PEB室受到周围环境的污染最小化。抬升组件147大体上包含抬升架140A、致动器140B、抬升销板140C、以及三个或更多个抬升销140D(图10D中只示出了两个),其适于将衬底“W”从延伸的机械手托板(未示出)升高或降低,并在机械手托板缩回时将衬底放在PEB板组件133的表面上。抬升销孔132设置成使抬升销140D可以访问衬底,使之可以将衬底从PEB板组件133的表面升高或降低。致动器140B可以是气缸或可用于升高或降低衬底的其他传统装置。机械手托板(未示出)适于经过外壳的侧壁136D的开口136E进入外壳136。
各种热输送阀
图11A是图示了可以用来对衬底快速加热和冷却的板组件一种实施例的侧视图。下文中所用的术语“板组件”意思大体上描述了可能适于从这种构造中受益的PEB板组件133、冷却板组件83、烘烤板组件93或HMDS烘烤板组件73的一种实施例。参考图11A,在一种实施例中,板组件250包含导体块254、冷却区域253、导体块254与冷却区域253之间形成的间隙259、入口区域257、出口区域258以及流体输送系统275,其中导体块254具有块表面254A,在处理期间,块表面254A与衬底“W”处于热连通。
导体块254用于支撑衬底,并包含加热装置255,加热装置255适于对与块表面254A处于热连通的衬底进行加热。导体块254可以由导热材料制成,例如铝、铜、石墨、氮化铝、氮化硼和/或其他材料。加热装置255可以是电阻加热器或用于加热导体块254的热电装置。在另一种实施例中,加热装置255由导体块254的表面中形成的多个通道(未示出)组成,用连续流经这些通道的热交换流体对它们进行温度控制。流体温度控制器(未示出)适于控制热交换流体的温度并从而控制导体块254的温度。热交换流体可以是例如全氟聚醚(例如Galden),其温度被控制到约35℃到约250℃之间的温度。热交换流体还可以是控温气体,例如氩或氮气。
冷却区域253是板组件250中由间隙259从导体块254隔离开的区域,并且在流体输送系统275将导热工作流体输送到间隙259时被维持在低温以冷却导体块254。冷却区域253包含冷却装置265,冷却装置265用于对板组件250的这个区域进行冷却。冷却区域253可以由导热材料制成,例如铝、铜、石墨、氮化铝、氮化硼和/或其他材料。冷却装置265可以是用于对冷却区域253进行冷却的热电装置。在另一种实施例中,冷却装置265由冷却区域253的表面中形成的多个通道(未示出)组成,用连续流经这些通道的热交换流体对它们进行温度控制。流体温度控制器(未示出)适于控制热交换流体的温度并从而控制冷却区域253的温度。热交换流体可以是例如全氟聚醚(例如Galden),其温度被控制到约5℃到约20℃之间的温度。热交换流体还可以是控温气体,例如氩或氮气。
流体输送系统275大体上包含流体输送源270,流体输送源270适于将导热工作流体输送到形成于导体块254与冷却区域253之间的间隙259。由此,流体输送系统275使导热工作流体从流体输送系统275经过入口区域257流动到间隙259中,然后流出出口区域258,在该处返回流体输送系统275。由此将导热工作流体用于在处理的不同阶段中提高冷却区域253与导体块254之间的热耦合,以加热和冷却衬底。导热工作流体可以是能够增强导体块254与冷却区域253之间热耦合的液体、蒸气或气体。在一种实施例中。导热工作流体是液体,例如:镓、铟和锡的液态金属合金(例如galinstan);水银(Hg);Galden;或聚乙二醇。在另一种实施例中,导热工作流体是气体,例如:氦、氩、或二氧化碳(CO2)。
在一种实施例中,用板组件250对例如PEB室中的衬底进行烘烤以执行PEB步骤540。在这种构造中,首先在导热工作流体流经间隙259从而使冷却区域253与导体块254连通且块表面保持低温的同时,将衬底输送到块表面254A。一旦衬底接触块表面254A,即可停止导热工作流体的流动并将其从间隙259撤去以使冷却系统253从导体块254解除耦合(decouple)。在一种实施例中,用气体源272来迫使剩余的导热工作流体回到流体输送系统275。然后通过从加热装置255输送能量来加热导体块254,直到导体块254达到期望的处理温度。在将期望的处理温度保持一段时间之后,关断加热装置255并向间隙259输送导热工作流体,以通过增强导体块254与冷却区域253之间的热耦合来冷却导体块254。一旦衬底达到了期望的温度,即可将其从处理室取走。
在板组件250的一种实施例中,如图11A所示,用机械制造工艺将块表面256有意地粗糙化,以减小对于导体块254材料带来的热冲击损害并增大将冷却区域253耦合到导体块254的表面积,所述机械制造工艺例如喷丸、滚花或其他机加工工艺。
PEB处理终点检测系统
为了减小烘烤室、PEB室和/或HMDS处理室中的处理时间并提高处理结果的重复性,可以将终点检测器集成到室中,以将处理完成或接近完成通知系统控制器101,从而可以转移到接下来的冷却室80。因此,这种设计使得将处理运行得比必要时间更长(即“过度烘烤”)的需要最小化,同时仍然确保了室处理的完整性。这种处理在PEB室中特别重要,因为要防止在曝光期间由对光刻胶的未暴露区域进行攻击产生的有机酸。
为了解决这个问题,在一种实施例中,通过对此前沉积或曝光的光刻胶层表面上方的气体或蒸气中所含的、此前识别出的PEB、HMDS或烘烤室反应副产品的浓度进行测量,来判定处理终点。图12A图示了终点检测系统190的一种实施例,该系统适于对从衬底“W”表面上的光刻胶层(未示出)表面扩散的副产品浓度改变进行检测。在这种构造中,激光器191以经过调谐的波长发射光束(参见标号“A”),使得检测器192接收到的信号强度由于与处理步骤中扩散到光刻胶表面上方的气体或蒸气中的副产品相互反应而减小。还对激光器的波长和强度进行调谐,使得激光器不会对光刻胶造成潜在的进一步曝光。大体上,通常的光刻胶处理副产品是例如含碳氢化合物的材料以及二氧化碳(CO2)。根据源自光刻胶的CO2或其他有机分解产品的浓度改变造成的强度变化,可以推断出终点。激光器发射的一个或多个波长可以在约500nm到约4000nm之间。在一种实施例中,在检测二氧化碳浓度的情况下,激光器的波长约为1960nm,这是传统的激光二极管易于实现的。在另一种实施例中,激光器发射的光束波长为4230nm。
图12A是包含激光器191的烘烤室、PEB室或HMDS处理室(参见部件199)的侧视图,激光器191发射的光束刚好从衬底表面上包含的光刻胶表面上方经过。在这种构造中,激光器191和检测器192安装成使得所发射的光束与保持在板组件193上的衬底“W”表面上的光刻胶层平行且很靠近。板组件193可以是例如PEB板组件133或烘烤板组件93,其用于在上述烘烤、PEB或HMDS处理步骤中处理衬底。由于刚好在光刻胶表面上方处造成的副产品浓度最高,所以在此构造中,终点检测系统190通常会对气体或蒸气中副产品的浓度改变有最高的灵敏度。这种构造的一个优点是,通过在光刻胶的表面上方投射光束,所检测到的强度改变是在光束的整个长度上经过光束的副产品量的总和。这种方法具有较低的信噪比,还对不同处理阶段处理中的变化进行了校正。
在终点检测器的另一种实施例中,用激光器来确定光刻胶层厚度和/或感知光刻胶层的折射率改变,以确定处理终点。图12B图示了终点检测系统198的一种实施例,该系统可以用于测量光刻胶层厚度和/或感知光刻胶层的折射率改变。终点检测系统198大体上包含激光器194、分束器195和检测器196。在图12B所示的一种实施例中,终点检测系统198还包含光纤光缆197,它可以使激光器194、分束器195和检测器196的位置与衬底表面上方的处理区域199A离开期望的距离。
在终点检测处理的一种实施例中,激光器设计为发射多个波长,使得可以在处理期间监视光刻胶厚度和/或折射率的改变。通过对多波长干涉图案的改变进行检测来测量光刻胶厚度,所述干涉图案会在处理期间随着光刻胶厚度和折射率而改变。在终点检测处理的一种实施例中,激光器194向分束器195发射辐射,激光器194发射的部分辐射直接穿过分束器195到达光纤光缆197。然后光纤光缆197将所发射的能量朝衬底表面导向。然后,所发射的辐射被光刻胶层(标号“P”)表面和/或衬底表面反射、散射或吸收。然后,所反射的辐射的一部分行进回到光纤光缆197,光纤光缆197将该辐射导向分束器195。然后,分束器195将被反射的辐射的一部分反射到检测器196,入射辐射在检测器196处得到检测。
为了使用上述实施例中任意一种来检测处理终点发生的时间,可以将所检测的信号与从以前处理过的衬底收集的信号或数据进行比较。在一种实施例中,可能需要在能够确信检测到终点之前获取后处理测量结果。图12C图示了一种使用从以前处理过的晶片收集的数据对终点检测处理进行优化的方法。该方法需要记录来自两个或更多个衬底的终点信号作为参考,或者储存在系统控制器101的存储器中(参见标号A)。然后对这两个或更多个衬底进行完整的处理并对其进行监测,以确定终点信号与理想处理相比较的情况(参见标号B)。然后用监测数据来确定理想的处理时间和实际终点信号,然后室中随后处理的衬底使用该实际终点信号来确定处理的实际终点(参见标号C)。
通过将接触最小化而改善的热输送设计
为了通过减少冷却室、烘烤室、PEB室和/或HMDS处理室的处理时间而提高系统吞吐量,已经采用了各种方法来提高衬底到热交换装置的热耦合。尽管增大衬底表面与板组件(例如PEB板组件133、冷却板组件83等)表面之间的接触会增强热耦合并减小衬底达到期望处理温度所需的时间,但是通常不期望增加接触,因为它会增加衬底背面产生的颗粒数目,这可能影响曝光处理结果并影响器件成品率。
为了减小衬底背面的颗粒产生情况,可以使用凸起物阵列使衬底到板组件表面的接触最小化,所述凸起物阵列将衬底从板组件表面间隔开。尽管凸起物减小了所产生的颗粒数目,但是它们可能会降低衬底与板组件之间的热耦合。因此,经常期望使从板组件表面的凸起高度最小化以改善热耦合,同时还确保衬底不会接触板组件的表面。现有技术的应用通常使用蓝宝石球体作为凸起物,所述蓝宝石球体被压入或放入板组件表面的机加工孔中。通过机械方式常常难以实现球体与板组件表面之间的良好高度控制,因为这种技术需要板组件表面非常平坦以确保衬底不会接触板组件表面。这些问题是因为形成对球体或销进行保持的表面构件所需的机加工操作都参考了某些参考基准,因此不能将板组件的表面形貌变动考虑在内。在凸起物从板组件表面凸起的高度约为30微米的情况下,这个问题变得特别重要。
参考图13A,为了解决这些矛盾问题,在一种实施例中,在板组件170的表面上形成精确控制的小接触面积凸起物171的阵列,并将衬底向板组件偏置(bias)以增加衬底与板组件之间的热耦合。可以用真空卡盘装置、静电卡盘装置或迫使衬底靠到板组件的其他传统方法来将衬底向板组件170偏置。可以用CVD和/或PVD沉积处理来形成精确控制的小接触面积凸起物171的阵列。通过使用CVD和/或PVD沉积处理,可以在板组件的表面上将受控尺寸的材料薄层均匀地沉积到期望高度。沉积在板组件170表面上以形成凸起物171的材料可以是二氧化硅(SiO2)、硅(Si)、金属(例如镍、钛、氮化钛、钼、钨等)、陶瓷材料、聚合物材料(例如聚酰亚胺、Teflon等)或其他材料,所述材料要足够硬以承受偏置力而不发生显著形变,并且不容易被与衬底背面(例如金刚石、类金刚石碳、或碳化硼)的相互作用容易地磨损。这种方法是有利的,因为板组件表面的表面上方凸起物高度可以被控制到可能比现有技术构造小十倍(例如1/10)的高度。凸起物高度减小会增大热输送速率,因此衬底可以更快地加热,从而减小了晶片转变到最终温度所花费的时间,这减小了扩散和化学反应中的变化。它还确保了衬底与加热器之间有更紧密的热耦合,从而减小了其他室内非均匀性造成的热影响。这种方式的另一个优点是,通过使用更多凸起物171,衬底的弯曲量减小了,因为当外部压力施加到衬底时,衬底的弯曲与凸起物之间距离的四次方成比例。通过从板组件表面凸起令人满意的同样高度的各个凸起物171、以及将衬底均匀地保持在板组件的表面上方,通过使凸起物之间的弯曲最小化,从板组件到衬底的热输送会均匀。因此,这种设计使衬底温度快速地、均匀地达到目标温度,同时使正常真空卡盘中固有的背面颗粒产生问题最小化。
为了形成凸起物171,在一种实施例中,将掩膜(未示出)放在板组件的表面上方,掩膜利用了形成于掩膜中的特征构件或孔而允许CVD或PVD材料沉积在衬底的特别限定的区域上。由此,通过掩膜中形成的特征构件控制了尺寸,通过用公知的PVD或CVD工艺沉积速率确保在板组件的表面上沉积特定的材料量,可以控制凸起物的高度。在一种实施例中,通过PVD或CVD工艺沉积的凸起物171约为100微米厚。
图13C和图13D图示了掩膜处理的一种实施例,其中用选择性CVD沉积工艺来沉积期望高度的凸起物。在这种构造中,例如,二氧化硅或金刚石籽晶182A层被嵌入由涂敷有Teflon的铝制成的板组件170的板组件表面170A中。在这种构造中,可以采用传统的CVD工艺来在籽晶182A上选择性沉积二氧化硅层182B或金刚石膜。在这种实施例中,籽晶182A嵌入板组件表面170A中,使得籽晶的顶表面与板组件表面170A大体上平齐。在本发明的一个方面,用插入工具(未示出)确保能够可重复地安置籽晶182A并确保其与板组件表面170A平齐。插入工具应当由相对而言不易压缩的材料制成,平坦并具有抛光的表面。插入工具应当具有工作表面(未示出),在插入板组件的过程中,工作表面与籽晶接触,它至少要由与制成籽晶182A的材料一样硬。
图13A图示了加热/冷却组件180的一种实施例,该组件可以用在冷却室80、烘烤室90、PEB室130和/或HMDS处理室70中。在一种实施例中,加热/冷却组件180包含板组件170和真空源175,板组件170和真空源175安装在处理室186中。板组件170大体上包含板170B、板组件表面170A、凸起物171和真空源端口组件172。在这种构造中,真空源175用于在真空端口充气增压室172B中产生负压,从而使空气流入板组件170表面中形成的多个真空端口172A中,使衬底后方产生了较小压力,造成衬底被朝向凸起物171的表面偏置。板170B可以由导热材料制成,例如铝、铜、石墨、氮化铝、氮化硼和/或其他材料,并与热交换装置183A连通。尽管图13A图示的热交换装置183A具有与上述冷却室80、烘烤室90、PEB室130和/或HMDS处理室70不同的形状,但这种实施例应当包括了上述所有构件。
在一种实施例中,板组件170还包含气体源端口组件173和气体源174来在处理期间吹扫衬底边缘,以防蒸发的溶剂蒸气由于衬底背面产生了较小压力(例如真空卡盘构造)而被沉积在板组件表面170A上或者衬底背面。在这种构造中,气体源174用于在气体端口充气增压室173B中产生正压力,从而使气体流出板组件170的表面中形成的多个气体端口173A。在一种实施例中,气体源184适于将惰性气体(例如氩、氙、氦、氮和/或氪)输送到衬底边缘。气体源174还可以用于将流体输送到衬底边缘。
图13B图示了凸起物171顶部没有衬底的情况下,板组件170表面的俯视图,以图示凸起物171(示出了33处)、真空端口172A(示出了约367处)和气体端口173A(示出了约360处)的一种可能配置。大体上,多个凸起物171在板组件170的表面上间隔开,使得接触面积可以最小化,且衬底与板组件表面170A之间的间隙大体上均匀。多个真空端口172A在板组件170上及周围间隔开,使得可以将衬底朝板组件170均匀地偏置,因而衬底与板组件表面170A之间的间隙大体上均匀。在一种实施例中,如图13B所示,真空端口172A(参见标号“A”)的内部阵列与气体端口173A(参见标号“B”)的外部阵列呈镜像关系,其中内部阵列“A”的直径小于衬底直径,外部阵列“B”的直径等于或大于衬底直径。
在一种实施例中,由CVD或PVD沉积的材料构成的、用于形成凸起物171(未示出)的小脊状物置于真空端口172A的内部阵列与气体端口173A的外部阵列之间,以使对衬底便于进行吹扫所需的气体量最小化。图13A-13B还图示了这样的构造:其具有抬升组件87和抬升销孔189来将衬底抬升以离开板组件表面170A,其中抬升销孔189穿过板组件表面170A延伸。
在一种实施例中,从气体源174输送的气体在离开气体端口173A之前受到加热,以防使处理期间的衬底边缘冷却。在另一种实施例中,板组件170中气体端口充气增压室173B的长度设计成确保留在气体端口充气增压室中的气体足以使注入的气体在离开气体端口173A之前大体上达到板的温度。
辅助室
辅助室65(图4C、4F和4H)可以用于容纳容器、泵、阀、过滤器和可用于在组合工具10中完成处理序列的其他辅助部件。
在一种实施例中,辅助室65包含各种计量工具,例如颗粒测量工具、OCD光谱分析椭偏测量设备、光谱分析反射式测量设备和各种散射式测量设备,以检测所处理的衬底中的缺陷、执行统计学工艺控制、和/或使系统能够补偿输入衬底的质量变动。在一种情况下,可以用非接触式的可见和/或DUV反射式测量技术,对组合工具中的衬底上膜的膜厚和均匀性进行测量。一种反射式机器可以从Milpitas California的Nanometrics,Incorporated买到。
集成式OCD光谱分析椭偏测量工具可以用于在不必将晶片移到独立计量工具的情况下即可完成膜的表征以及光刻处理中的闭环控制,从而节省了传送时间并消除了可能的处理污染和损伤。因此,将各种处理控制计量能力直接集成到组合工具中会有助于改善CD控制和CoO。OCD光谱分析椭偏测量工具可以从Milpitas California的Nanometrics Incorporated买到。
晶片序列/并行处理
为了在市场中更具竞争力并从而降低CoO,电子器件制造商经常花费大量时间试图对处理序列和室处理时间进行优化,以便在给定了组合工具架构限制和室处理时间的情况下实现可能的最高衬底吞吐量。在匀胶显影光刻类的组合工具中,由于室处理时间容易非常短(例如用约一分钟完成处理)且完成通常的匀胶显影系统处理所需的工艺步骤数目较大,所以在处理中将处理衬底所需的很大一部分时间用来将组合工具中的衬底在各个处理室之间传送。在组合工具10的一种实施例中,通过将衬底分组到一起并以两个或更多个为一组进行传送和处理来减小CoO。因此,这种形式的并行处理提高了系统的吞吐量,并降低了机械手在各处理室之间传送一批衬底所需的移动次数,从而降低了对机械手的磨损并提高了系统可靠性。
在本发明的一个方面,匀胶显影架构被设计为使衬底一个接一个地离开安装在晶舟组件105A-105D中的晶盒106,然后在第一处理台受到处理之后一起分组成包含两个或更多个衬底的组。例如,在使用图3A所示处理序列时,可以在完成BARC涂敷步骤510之后对衬底进行分组。在这种构造中,机械手可以为晶盒106提供服务并将各个衬底放在第一处理台,该机械手可以使用单托板机械手,但是从第一处理台拾取衬底并将其放在后续处理台中的机械手(例如中央机械手107)是包含有与将被分组的衬底一样多的保持装置(例如机械手托板)的机械手。例如,如图16A所示,在要将两个衬底分组到一起的情况下,可以使用双托板式中央机械手107。在本发明的另一个方面,在将衬底传送到步进机/扫描机5中之前取消它们的分组,然后在执行PEB步骤540之后再对它们重新分组,然后在被前端机械手108拾取之前,在最后的处理台再次取消分组。
在本发明的一个方面,可以在晶舟组件105将衬底分组到一起并使用多托板式前端机械手108、中央机械手107和后端机械手109来将它们成组地传送经过组合工具。图16A-16D图示了多托板式机械手的一种实施例。在此情况下,在给前端机械手108的各个托板装载衬底之后,成组地完成经过组合工具的所有传送处理。注意,在步进机/扫描机5处,衬底很可能需要被取消分组,即一次传送一个。
在一种实施例中,衬底被成对地分组,因此传送处理可能包括如下分组步骤:将单一衬底传送到第一处理室中,然后在系统中传送成对衬底,然后将单一衬底从/向步进机/扫描机5传送,然后在系统中传送成对衬底,并从最后的室向晶盒传送单一衬底。在一种实施例中,图16A-16B中下方所示的中央机械手107包含成对托板组件705,该组件在第一托板组件715A上包含至少一个机械手托板711A并在第二托板组件715B上包含至少一个机械手托板711B,以将衬底两个一组进行传送。在这种构造中,第一托板组件715A和第二托板组件715B分开固定距离,该距离对应于两个室的垂直间距,衬底将在所述两个室中进行分组。例如,如果在图4A所示前端处理架52的CD1和CD2中执行BARC涂敷步骤510之后将衬底成对地分组,则CD1和CD2室中传送位置的间距被设置成允许将衬底传送到第一中央处理架152中的C12和C9冷却室或者B5和B2烘烤室。因此,在完成后BARC冷却步骤514之后,中央机械手107可以将成对衬底传送到第二中央处理架154中包含的成对涂覆/显影室60中的一对,例如室CD1和CD2、CD2和CD3、或者CD3和CD4。
在双托板组件705的一种实施例中,第一托板组件715A相对于第二托板组件715B的水平间距被分开固定距离,该距离对应于两个室的水平间距,衬底将在所述两个室中进行分组。在这种构造中,第一托板715A和第二托板715B在水平面中对准,使得双托板组件705可以访问这些水平间隔的室。
参考图16D,在另一种实施例中,利用安装在双托板组件705上的致动器722,使第一托板组件715A和第二托板组件715B的间距分开可变的距离。大体上,致动器722适于将各种数目的成组衬底之间的间距改变到与室的期望间距一致,所述成组衬底将被传送到所述室中。在一个方面,致动器722安装在支撑件720上,并适于对连接到第二表面720B的第二托板组件715B进行定位。在这种构造中,致动器722可以通过将第二表面720B沿方向“B”定位,来改变第二托板组件715B相对于第一托板组件715A的间距“A”。在一种实施例中,致动器722是直接驱动式线性无刷伺服电动机,它可以从Wood Dale,Illinois的Danaher Motion或者Pittsburgh,Pennsylvania的Aerotech,Inc.买到。
在一种实施例中,可以在衬底上执行成批显影处理,在此情况下,可以成组地传送衬底,然后对其取消分组以执行显影处理,此后可以将它们重新分组并成组输送。
无缓冲站的序列
在本发明的一个方面,衬底处理序列和组合工具被设计成使得处理序列期间执行的衬底传送步骤完成到将要执行处理序列中下一处理步骤的室。现有技术的组合工具构造通常在处理序列中设置有过渡台或缓冲室,使得将衬底放下的机械手可以完成其他传送步骤和/或允许其他机械手将正在等待的衬底拾取并传送到系统中的另一期望位置。将衬底放在将不执行后续处理步骤的处理室中这样的步骤浪费了时间,降低了一个或多个机械手的可用性,浪费了组合工具中的空间,并增加了一个或多个机械手的磨损。由于衬底的输送次数增加会增加背面颗粒污染量,所以增加缓冲步骤还会对器件成品率造成不利影响。另外,包含有缓冲步骤的衬底处理序列会具有不同的衬底晶片历史,除非对每个衬底都控制缓冲室中花费的时间。控制缓冲时间会由于增加了处理变量而增加系统的复杂性,还很可能不利于获得尽可能高的衬底吞吐量。在系统吞吐量受到机械手限制的情况下,组合工具的衬底最大吞吐量由进行运动以完成处理序列的机械手总数以及使机械手运动所花费的时间来限制。机械手进行期望的运动所花费的时间通常由下述因素限制:机械手部件、处理室之间的距离、衬底清洁度因素、以及系统控制限制。通常,对于一种类型的机械手和另一种类型的机械手,机械手运动时间不会改变很多,在工业范围内相当一致。因此,与需要较多运动来完成处理序列的组合工具(例如包含多个缓冲步骤的组合工具)相比,只有较少机械手进行运动来完成处理序列的组合工具会有更高的系统吞吐量。
图2A-2G和图14A-14B所示组合工具的各种实施例具有优于现有技术构造的若干优点,因为在系统中传送衬底只需较少的运动和较少的机械手。一个例子是前端机械手108的这种能力:访问一个或多个晶舟106,然后直接将衬底放在第一处理室(例如涂覆室60A)中,然后在第一处理室中进行处理之后将衬底输送到后续处理室(例如烘烤室)90。现有技术构造需要在晶盒、处理室和/或步进机/扫描机之间使用多个过渡台并使用多个机械手来完成组合工具中的处理序列。在某些现有技术构造中,例如,第一机械手常常将衬底放在第一位置,由第二机械手在该处拾取衬底并将其放在处理室中的第二位置。在处理室中进行处理之后,然后由第二机械手将衬底放回第一位置,由第一机械手或第三机械手在该处拾取衬底并将其传送到系统中的另一位置。这种传送处理或传送路径有所浪费,因为它需要单独的机械手完成第一位置与第二位置之间的传送,并需要增加两次无价值的运动来传送衬底。增加额外的机械手和/或增添无价值的运动可能由于降低了衬底吞吐量而成本很高,并会使组合工具可靠性变差。注意到串行序列的可靠性与序列中各个组成部分的可靠性乘积成比例,就可以更容易地理解这个方面的重要性。因此,具有99%正常运行时间的单一机械手总是好于具有99%正常运行时间的两个机械手,因为两个各具有99%正常运行时间的串连机械手,其系统正常运行时间只有98.01%。由于匀胶显影光刻室处理时间易于很短,且完成通常的处理序列所需的处理步骤数目较大,所以系统可靠性、晶片输送次数和增加的无价值机械手运动可能显著影响系统吞吐量。
本申请中所述组合工具的一个优点是两个或更多个机械手访问不同的主要模块(例如前端模块306、中央模块310等)中的处理室(例如冷却室80、烘烤室90等)的能力。例如,在图2F所示实施例中,前端机械手108可以访问第一中央处理架312和第二中央处理架314中的处理室,而中央机械手107可以访问第一处理架308和第二处理架309中的处理室。
机械手访问其他主要模块中处理室的能力,即“机械手重叠度”,可以是防止系统机械手传送瓶颈的一个重要方面,因为它允许未充分利用的机械手对限制了系统吞吐量的机械手进行帮助。因此,通过对衬底序列期间各个机械手承担的负载进行平衡的行为,可以提高衬底吞吐量,可以使衬底的晶片历史更具重复性,并可以改善系统的可靠性。在一个方面,系统控制器101适于根据经优化的吞吐量来调整组合工具中的衬底传送路径,或者绕过(work around)不可处理的处理室。允许对吞吐量进行优化的系统控制器101称为逻辑调度器。逻辑调度器根据来自用户和分布在整个组合工具中的各种传感器的输入,对任务和衬底运动区分优先次序。逻辑调度器可以用于检查各个机械手(例如前端机械手108、中央机械手107、后端机械手109、一个或多个穿梭机械手110等)各自请求的将来任务列表以帮助对各个机械手分别承担的负载进行平衡,其中所述将来任务列表保存在系统控制器的存储器中。使用组合工具架构和系统控制器101一同工作来使组合工具的利用率最大化以改善CoO使得晶片历史更具重复性并提高了系统的可靠性。
在一个方面,还对系统控制器101进行编程,以便对系统中所有机械手的末端执行器(例如双托板组件705(图16A-16C)、托板组件706(图16F-16G)等)的运动进行监视和控制,以避免机械手之间的碰撞,并通过使机械手能够同时运动而提高系统吞吐量。这种所谓的“碰撞避免系统”可以以多种方式实现,但是总的来说,系统控制器101利用位于机械手上或组合工具中的各种传感器,在传送处理期间监视各个机械手的位置,以防止碰撞。在一个方面,系统控制器适于在传送处理期间主动变更各个机械手的运动和/或轨迹,以避免碰撞并使传送路径长度最小化。在一种实施例中,使用“区域避免”系统来防止多个机械手之间的碰撞。在区域避免系统的一个方面,系统控制器通过利用其硬件部分和软件部分,能够对各个机械手周围的“开放”(即可以在其中安全运动)区域进行监视、更新和限定。所限定的“开放”区域或安全区域是这样的区域:机械手可以运动到该区域中或经过该区域而没有与其他机械手碰撞的可能性。在碰撞避免系统的另一种实施例中,系统控制器适于对多个传感器(例如各个机械手轴线上的编码器、位置传感器等)和发射器进行监视和控制,以对各个机械手在组合工具内的实际位置进行连续跟踪,从而确保两个或更多个机械手的运动不会使它们运动到同一空间并因而发生碰撞,所述传感器和发射器分布在组合工具的主机框架附近以及一个或多个机械手上。在一个方面,所述传感器是光学传感器,它们沿组合工具中的不同垂直和/或水平方向定位,以监视各个机械手的位置。在另一个方面,使用传感系统对各个机械手及其部件进行监视,所述传感系统能够利用相对于位于主机框架中的多个传感器位于各个机械手部件上的发射器,而对不同机械手部件各自的位置进行三角测量。在一个方面,传感系统包含作为RF输送器和接收器的发射器和接收器。
图14A示意性图示了一种衬底传送路径,该图意在图示衬底在组合工具10中流动的一种示例,其中缓冲步骤的数目被最小化或完全消除。传送路径大体上是在衬底从一个位置运动到另一个位置、以便可以在(一个或多个)衬底上执行各种处理配方步骤的情况下,衬底会经过的路径的示意性图示。图14A图示了遵循图3A所示处理序列的衬底的传送路径。在这种实施例中,衬底被前端机械手108从晶舟组件105(标号105A)取走并沿着传送路径A1输送到涂覆室60A(例如CD1、CD等(图4A)),使得可以在衬底上完成BARC涂敷步骤510。一旦BARC处理已完成,则衬底被中央机械手107沿着传送路径A2传送到烘烤室90(例如B1、B3等(图4B)),在该处在衬底上完成后BARC烘烤步骤512。在完成后BARC烘烤步骤512之后,衬底被穿梭机械手110沿着传送路径A3传送到后BARC冷却步骤514(例如C1、C2等(图4B))。在执行后BARC冷却步骤514之后,衬底被中央机械手107沿着传送路径A4传送到涂覆室60A(例如CD1、CD2等(图4C)),在该处执行光刻胶涂敷步骤520。在执行光刻胶涂敷步骤520之后,衬底被中央机械手107沿着传送路径A5传送到烘烤室90(例如B2、B4等(图4B)),在该处执行后光刻胶涂敷烘烤步骤522。在执行后光刻胶涂敷烘烤步骤522之后,衬底被穿梭机械手110沿着传送路径A6传送到冷却室80(例如C1、C2等(图4B)),在该处执行后光刻胶冷却步骤524。在执行后光刻胶冷却步骤524之后,衬底被中央机械手107沿着传送路径A7传送到OEBR室62(例如OEBR1等(图14A中未示出,参见图4D)),在该处执行OEBR步骤536。然后,用后端机械手109将衬底沿着传送路径A8传送到步进机/扫描机5。在完成曝光步骤538之后,后端机械手109将衬底沿着传送路径A9传送到PEB室130(图4D))。在执行PEB步骤540之后,衬底被穿梭机械手110沿着传送路径A10传送到冷却室80,在该处执行后PEB冷却步骤542。在执行后PEB冷却步骤542之后,衬底被后端机械手109(或中央机械手107)沿着传送路径A11传送到显影室60B,在该处执行显影步骤550。在执行显影步骤550之后,衬底被中央机械手107沿着传送路径A12传送到冷却室80,衬底会在该处被前端机械手108拾取,以沿着传送路径A13传送到晶盒组件105。
在图14A所示组合工具10的一个方面,衬底被分组到一起并以两个或更多个为一组进行传送,使得成组衬底可以以组的形式沿着传送路径A1-A7和A10-A12运动。如上所述,这种形式的并行处理会提高系统吞吐量,并降低机械手将一批衬底在各处理室之间进行传送所需的运动次数,从而减少对机械手的磨损并提高系统可靠性。
在组合工具10的一个方面,如图14A所示,传送路径A3、A6和/或A10是由中央机械手107完成的。在一种实施例中,传送路径A11由穿梭机械手110完成,穿梭机械手110适于将衬底在冷却室80与显影室60B之间传送。
图14B示意性图示了通过组合工具10如图2F所示的构造的衬底传送路径的一种示例,其中缓冲步骤的数目可以最小化或者完全消除。图14B图示了遵循图3A所示处理序列的衬底的传送路径。在本实施例中,衬底被前端机械手108从晶舟组件105(标号105C)取走,并沿着传送路径A1输送到涂覆室60A,使得可以在衬底上完成BARC涂敷步骤510。一旦BARC处理已完成,则衬底被前端机械手108沿着传送路径A2传送到烘烤室90(例如B1、B2、B3等(图4G)),在该处在衬底上完成后BARC烘烤步骤512。在完成后BARC烘烤步骤512之后,衬底被穿梭机械手110沿着传送路径A3传送到后BARC冷却步骤514(例如C1、C2等(图4G))。在执行后BARC冷却步骤514之后,衬底被前端机械手108或中央机械手107沿着传送路径A4传送到处理室370,处理室370被设置成涂覆室60A(例如CD1、CD2、CD3等(图4J)),在该处执行光刻胶涂敷步骤520。在执行光刻胶涂敷步骤520之后,衬底被中央机械手107沿着传送路径A5传送到烘烤室90(例如B2、B4等(图4I)),在该处执行后光刻胶涂敷烘烤步骤522。在执行后光刻胶涂敷烘烤步骤522之后,衬底被穿梭机械手110沿着传送路径A6传送到冷却室80(例如C1、C2等(图4I)),在该处执行后光刻胶冷却步骤524。在执行后光刻胶冷却步骤524之后,衬底被中央机械手107沿着传送路径A7传送到OEBR室62(例如OEBR1等(图4I)),在该处执行OEBR步骤536。然后用中央机械手107沿着传送路径A8将衬底传送到步进机/扫描机5。在完成曝光步骤538之后,中央机械手107沿着传送路径A9将衬底传送到PEB室130。在执行PEB步骤540之后,衬底被穿梭机械手110沿着传送路径A10传送到冷却室80,在该处执行后PEB冷却步骤542。在执行后PEB冷却步骤542之后,衬底被中央机械手107沿着传送路径A11传送到处理室370,处理室370被设置成显影室60B(例如CD1、CD2、CD3等(图4J)),在该处执行显影步骤550。在执行显影步骤550之后,衬底被前端机械手108沿着传送路径A12传送到晶舟组件105。在一个方面,传送路径A12可以通过用中央机械手107从显影室60B拾取衬底、将衬底传送到前端机械手108、然后将衬底传送到晶舟组件105来完成。
在一个方面,传送路径A12可以被分成两个步骤(未示出),其中,由中央机械手107将衬底传送到第一处理架308中的冷却室80,然后用前端机械手108传送到晶盒。在这种构造中,冷却室80作为“安全”位置,衬底可以放在该处而不会暴露于热能或处理流体,所述热能或处理流体可能影响晶片历史和所处理的衬底上的污染量。“安全”位置可以与将衬底保持在升高的抬升销87D(示于图10A的下部位置)或将衬底保持在冷却板块83B(图10A)相一致。
在一个方面,传送路径A12可以通过用中央机械手107从显影室60B拾取衬底、然后将衬底传送到晶舟组件105来完成。在这种构造中,中央机械手107还可以适于用滑动组件(未示出)和平移致动器(例如直线伺服电机等(未示出))沿组合工具10的长度方向平移一段距离,以使机械手具有访问晶盒所需的范围。
在组合工具10的一个方面,如图14B所示,传送路径A3、A6和/或A10是由中央机械手107或前端机械手108完成的。在图14B所示的组合工具10的另一个方面,衬底被分组到一起,并以两个或更多个为一组进行传送,使得成组衬底可以以组的形式沿着传送路径A1-A7和A10-A12运动。
组合机械手设计
A.垂直轨机械手设计
图15A是组合工具10的一个立体图,图示了中央机械手107的一种实施例。中央机械手107的这种实施例包含蛙腿式(frog-leg)机械手(下文中称为FLR或FL机械手)组件602,蛙腿式机械手组件602适于将衬底向/从前端处理架52、第一中央处理架152、第二中央处理架154和/或后端处理架202中包含的各个处理室进行传送。为了使本实施例中包含的部件更加突出和清楚,已从图15A中除去了第二中央处理架154。参考图15A-15D,FLR组件602大体上包含上部蛙腿式(FL)机械手组件610、下部蛙腿式(FL)机械手组件620和抬升轨组件626。抬升轨组件626大体上包含前部轨614和后部轨612。因此这种构造包含两个机械手组件,即上部FL机械手组件610和下部FL机械手组件620,它们适于彼此独立地在垂直面和水平面内运动。在这种实施例中,独立的上部FL机械手组件610或独立的下部FL机械手组件620各自能够在垂直面内(即沿着抬升轨组件626)运动,并能够根据来自系统控制器101的命令,通过FL机械手625的运动将衬底传送到水平面内的任何位置。尽管图15A-15D图示了包含两个机械手组件(上部FL机械手组件610和下部FL机械手组件620)的构造,但是组合工具10的其他实施例可以包含三个或更多个机械手组件。在组合工具10的另一种实施例中,采用单一的FL机械手组件来在组合工具中传送衬底。
图15B是组合工具10的俯视图,其中FL机械手组件602的下部FL机械手组件620正在从后端处理架202中包含的处理室中交换衬底。
图15C是中央机械手107的一个立体图,突出了上部FL机械手组件610和下部FL机械手620的各个组件。通常,抬升轨组件626安装在中央模块框架(未示出)上,中央模块框架是中央模块150的一部分。尽管图15A-15D图示了上部FL机械手组件610或下部FL机械手组件620中的FL机械手626彼此面对(即,上部FL机械手面朝下,而下部FL机械手面朝上)的构造,但是在不脱离本发明范围的情况下,也可以采用其他构造,例如上部FL机械手组件610或下部FL机械手组件620都面朝上或朝下。
图15D是FL机械手组件620的俯视图,意在示出上部FL机械手组件610或下部FL机械手组件620中都存在的各个部件。上部FL机械手组件610或下部FL机械手组件620大体上会包含FL机械手626和支撑组件624。在一种实施例中,如图15A-15D所示,FL机械手625具有两个衬底载具(即611A和611B),这些载具适于将衬底在各个处理台之间传送,但是这种构造不应认为对本发明范围的限制,因为衬底载具的数目或蛙腿式构造的使用不应限制在本发明在这里所述的各个方面。1994年4月11日提交的、题为“Robot Assembly”的、共同转让的美国专利申请No.5,447,409中描述了可能受益于本发明的、具有两个衬底载具的示例性FL机械手的一种示例,该申请因而通过引用全部结合于此。1994年8月30日提交的、题为“Two-axis magnetically coupled robot”的、共同转让的美国专利申请No.5,469,035以及2000年4月14日提交的、题为“Robot ForHandling Semiconductor Substrates”的、美国专利申请No.6,379,095描述了可能受益于本申请的其他FL机械手设计示例,这些申请的全部内容通过引用而结合于此。
在一种实施例中,FL机械手625具有两个衬底载具611A-611B,FL机械手625大体上包含双轴电动机615、主臂618A-618B、次臂619A-619D、肘部组件621A-621B和衬底载具611A-611B。大体上,通过双轴电动机615各个轴线的运动,可以使主臂618A-618B沿相反方向旋转,从而使衬底载具611A-611B延伸或缩回,或者使主臂618A-618B沿相同方向旋转,以使衬底载具611A-611B旋转到期望位置。FL机械手625安装在支撑组件624的支撑件613上,支撑组件624支撑和保持机械手组件625。
参考图15C-15D,支撑组件624大体上包含支撑件613、电动机组件617A和电动机组件617B,电动机组件617A与前部轨614连通,电动机组件617B与后部轨612连通,两个电动机组件617A和617B都连接到支撑件613。电动机组件617A和电动机组件617B大体上包含致动器630和导向机构631。在一种实施例中,致动器630是直接驱动式线性无刷伺服电机,它通过与安装在抬升轨组件626的部件上的基座部件616A-616B(例如次级线圈或“转子”部分)的连通,适于独立地升高或降低所连接的FL机械手组件部件(例如标号610和620)。在一种实施例中,从成本和易于控制的角度来看,只在抬升轨(即前部轨614和后部轨612)之一上安装单一的致动器630并使另一个轨只有导向机构631较好。直接驱动式线性无刷伺服电机可以从Wood Dale,Illinois的Danaher Motion或者Pittsburgh,Pennsylvania的Aerotech,Inc.买到。在其他实施例中,致动器630可以是步进电机或者能够将各个FL机械手组件610或620的部件升高和降低的其他类型致动器。
导向机构631适于在FL机械手组件610或FL机械手组件620的部件在抬升轨上升高或降低时对其进行支撑和精确导向,以确保对FL机械手组件610或FL机械手组件620的运动位置和精度进行良好的控制,从而使衬底稳定地运动和传送。在一种实施例(未示出)中,导向机构631包含线性导向件,它支撑和保持FL机械手组件610或620的部件。可以从Wood Dale,Illinois的Danaher Motion买到线性导向件。在另一种实施例中,如图15C-15D所示,轮子619以正交构造安装到电动机组件617A-617B并在t形轨组件618上滚动,以对FL机械手组件610或FL机械手组件620的部件的运动进行定位和精确控制。
在本发明的一个方面,FL机械手组件602包含两个或更多个FL机械手组件(例如标号610、620),这些FL机械手组件被同步以允许将衬底分组并一同传送。这种构造可能由于会提高组合工具中的衬底吞吐量而较有利。在一个方面,这两个或更多个FL机械手组件在实体上彼此耦合,以使这些FL机械手组件的各个托板的运动一致并从而得到分组。在此情况下,机械手组件610可以分开固定距离,并以同步运动的方式运动。在另一个方面,FL机械手组件(例如标号610、620)机械耦合在一起,以使它们维持分开固定距离,但是各个FL机械手625能够彼此独立地运动(例如独立地在水平面内运动)。
在另一个方面,系统控制101用于对两个或更多个FL机械手组件各自的运动进行控制和同步,以使衬底可以以两个或更多个为一组进行传送。例如,如果中央机械手107是包含两个机械手的FL机械手组件602,则可以用上部FL机械手组件610和下部机械手组件620来完成图14A所述的传送路径A2,以从两个涂覆室60A(例如CD1和CD2(图4A))大体上同时拾取衬底,然后将衬底大体上同时放在期望的烘烤室90(例如B1和B5(图4B))中。这种构造可能由于下述原因而较有利:它既允许分组运动以提高吞吐量,又允许各个机械手在需要完成某些其他期望任务时独立运动。
B.多关节型机械手(Articulated Robot)
图16A是中央机械手107一种实施例的立体图,包含多关节型机械手组件702(下文中称为AR组件702)。AR组件702适于将衬底向/从包含在前端处理架52、第一中央处理架152、第二中央处理架154和/或后端处理架202中的各个处理室进行传送。为了使本实施例中包含的部件突出和清楚,已经从图16A中除去了第二中央处理架154。AR组件702大体上包含多关节型机械手710和双托板组件705。多关节型机械手710大体上是6轴多关节型机械手,它可以从Tokyo,Japan的Mitsubishi ElectricCorporation、Wixom,MI的Kawasaki Robotics(USA),Inc.和Duncan,SC的Staubli Corp.买到。在一种实施例中,6轴多关节型机械手是从Duncan,SC的Staubli Corp.买到的TX90型。多关节型机械手710具有机械手基座713A和机械接口713B,它们分别将机械手连接到组合工具,并将末端执行器组件(例如双托板组件705、托板组件706等)连接到机械手。大体上,6轴多关节型机械手因为下述原因而有利:由于其多轴和多连接件设计,多关节型机械手的到达范围远远优于传统机械手,多个多关节型机械手的范围可以更容易地“重叠”,因为保持和传送(一个或多个)衬底的末端执行器,其运动不与机械手基座713A的运动相联系,允许机械手在传送衬底时更有效地相互避让、和/或多关节型机械手的可靠性超过了大多数传统机械手。
双托板组件705大体上包含支撑件720以及两个或更多个托板组件715(例如第一托板组件715A、第二托板组件715B等)。支撑件720连接到多关节型机械手710并由其导向,使得第一托板组件715A中的托板和第二托板组件715B中的托板可以各自在处理架中容纳的两个不同处理室中拾取和/或放下衬底。机械手托板之间的间距(参见标号“A”)或距离由第一支撑面720A和第二支撑面720B之间的距离固定,并设计成与处理架中包含的其中两个处理室之间的间距相符。因此,例如第一中央处理架152中标有B1和B4的烘烤室的传送位置之间的距离会与前端处理架52中标有CD1和CD2的涂覆/显影室之间的间距相符,使得在完成BARC涂敷步骤510之后,衬底就可以被传送到标有B1和B4的烘烤室,以完成后BARC烘烤步骤512。参考图16B,间距“A”大体上限定为衬底承受表面712A-712B的法向上,托板711A-B之间的距离或间隔。在一种实施例中,间距(参见标号“A”)是约100mm到约1200mm之间的距离,优选为约300mm到约700mm之间。尽管结合多关节型机械手组件702说明了双托板组件705,但是在不脱离本发明基本范围的情况下,其他构造可以采用利用了其他类型机械手的双托板组件705。
在一个方面,衬底承受表面712A-712B适于用边缘握持机构对位于托板(未示出)上的衬底进行保持,所述边缘握持机构将衬底保持在机械手托板上的适当位置。边缘握持机构可以适于在多个点(例如3个点)处握持衬底边缘,以保持衬底。
参考图16B,在一种实施例中,每个托板组件715(例如第一托板组件715A或第二托板组件715B)大体上包含一个或多个机械手托板致动器721(参见标号721A-721B)以及一个或多个机械手托板711(参见标号711A-711B)。机械手托板致动器721可以是直接驱动式线性无刷伺服电机或者能够对机械手托板711的运动和位置进行控制的其他等效装置。大体上,机械手托板之间的间距不会受到一个机械手托板相对于另一个机械手托板的致动或平移的影响,因为被致动的托板优选地在平行于其他机械手托板的平面内平移。
图16C图示了双托板组件705的一种实施例,它包含安装在支撑架722A上的一对托板组件715A和715C和安装在支撑架722B上的第二对托板组件715B和715D,支撑架722A位于第一支撑表面720A上,支撑架722B位于第二支撑表面720B上。图16C还图示了这样的构造:示出的机械手托板711B处于被致动位置,而另外的托板(例如715A和715C-715D)处于其缩回位置。在双托板组件705的一个方面,容纳于其各自的托板组件715(例如715A-715D)中的各个机械手托板711(例如711A-711D)可以用系统控制器(未示出)以及它们的机械手托板致动器721(例如721A-721D)独立地致动。在一个方面,如图16C所示,每对中的每个机械手托板711都可以在实体上定向成彼此大体上水平对准并垂直隔开(常称为“上方/下方”构造),使得可以同时在各个托板上保持衬底。上方/下方托板构造在例如下述情况下是有利的:在将待处理的下一衬底放在同一处理室中之前,机械手必须从处理室取走衬底,而不必离开其基本位置将“被取走的”衬底移动到另一个室。在另一个方面,这种构造可以允许机械手填满所有的托板,然后将衬底成组传送到工具中期望的位置。例如,在图16C中,可以在四个托板上传送四个衬底。这种构造还具有另一优点,即允许通过从各个托板711A-711D一次一个地放下或拾取衬底来将成组传送的衬底解除分组。在其他实施例中,可以用安装在各个支撑表面(例如图16B中的720A和720B)上的三个或更多个堆叠的托板代替“成对”的机械手托板,以更便于成组地传送多个衬底。
图16E图示了上方/下方式双托板组件705的剖视图,其中一个托板(标号715D)已经延伸以访问晶舟组件105中的衬底“W”,从而可以将其从晶盒106中拾取或放下。这种构造允许衬底在系统中成组传送,然后在只能同时接受一个衬底的台(例如,盒106、步进机/扫描机5等)中单独放下和/或拾取衬底。
在本发明的一个方面,为了用包含两个或更多个固定机械手托板(即不包含机械手托板致动器721)的机械手执行单一衬底传送任务,机械手适于对机械手托板中至少一个进行“重定位”(例如翻转、旋转和/或拆下),使得经过“重定位”的(一个或多个)托板不会与另一机械手托板上传送衬底的处理相干涉。在这种构造中,可以采用特定位置或特定的室(例如辅助室)来接收衬底托板并将其沿期望方向重定位,以允许使用其他机械手托板来传送衬底。当成组传送序列中的一个或多个处理室不可操作、因而不能允许托板进入该处理室时,对机械手托板中的一个或多个进行重定位的能力可能特别有用,因为它允许使用其他的邻近处理室位置。
图16F和图16G是前端机械手108或后端机械手108一种实施例的立体图,其包含单一托板式多关节型机械手组件703。单一的多关节型机械手组件703(下文中称为SA机械手组件703)适于根据机械手是前端机械手108还是后端机械手109来将衬底向/从前端处理架52和晶舟组件105中、或后端处理架202和步进机/扫描机5中包含的各个处理室进行传送。SA机械手组件703大体上包含多关节型机械手710和托板组件706。多关节型机械手710大体上是6轴多关节型机械手,它可以从Tokyo,Japan的Mitsubishi Electric Corporation、Wixom,MI的Kawasaki Robotics(USA),Inc.和Duncan,SC的Staubli Corp.买到。
参考图16G,托板组件706大体上包含上述的支撑件718和托板组件715(例如第一托板组件715A)。支撑件718连接到多关节型机械手710并由其导向,使得托板组件715中的机械手托板711可以将处理架中包含的处理室中的衬底拾取和/或放下。在一种实施例中,单一托板式多关节型机械手组件703可以包含一对托板组件715(例如标号715A和715C),例如结合图16C图示和说明的各对之一。
在一种实施例中,前端机械手108或后端机械手109是结合图16A-16D和14A-14B图示和说明的双托板组件705。这种构造会允许衬底在整个系统中成组传送,从而提高吞吐量、CoO和系统可靠性。
图16H是可动多关节型机械手(例如示出了AR组件702)一种实施例的立体图,它适于允许用滑动组件714将多关节型机械手基座713沿组合工具的长度方向平移和定位。在这种构造中,多关节型机械手基座713连接到滑动组件714的致动器组件717,该致动器组件适于用来自系统控制器101的命令使AR组件702运动到组合工具中的期望位置。滑动组件714大体上包含致动器组件717、盖子(未示出)和基座716。基座716将AR组件702和滑动组件的部件支撑和安装到组合工具。为了清楚起见而未示出的盖子用于对致动器组件717和其他滑动组件构件进行封闭,以防所产生的颗粒前进到处理室,并防止组合工具维护期间给这些构件带来损害。致动器组件717可以大体上包含致动器719和导向机构723(部件723A和723B)。在一种实施例中,如图16H所示,致动器719是直接驱动式线性无刷伺服电机,它通过与安装在基座716上的基座部件719A(例如次级线圈或“转子”部分)以及滑块719B(例如定子)相连,用于使AR组件702沿着滑动组件714的长度方向运动。直接驱动式无刷伺服电机可以从Wood Dale,Illinois的Danaher Motion或者Pittsburgh,Pennsylvania的Aerotech,Inc.买到。在其他实施例中,致动器719可以是步进电机或能够用于对机械手进行定位的其他类型致动器。导向机构723安装到基座716,并用于在机械手沿滑动组件714的长度方向运动时对其进行支撑和导向。导向机构723可以是本领域熟知的线性滚珠轴承滑动件或传统线性导向件。
尽管图16H图示了安装到滑动组件714的单一机械手,但是其他实施例中,可以将两个或更多个机械手附接到同一滑动组件。这种构造可以通过减少冗余零件数目而减小成本,并改善机械手彼此的相对精确运动。另外,尽管图16H图示了安装到滑动组件714的双托板多关节型机械手,但是机械手的类型或托板数目不应限制本发明的范围。
图161图示了具有两个固定托板的机械手一种实施例的剖视图,所述两个托板被定位成对位于两个分开的垂直堆叠晶舟组件105中的两个衬底进行拾取。在这种构造中,采用多托板机械手来拾取和/或放下位于两个晶盒(标号106A-106B)中的衬底,以允许在衬底传送序列的开始和/或结束时执行成组衬底传送处理。在一个方面,晶盒被分开距离“A”,因此晶舟组件也分开距离“A”,使得机械手可以访问各个晶盒中相似位置的衬底。在一个方面,当不需要至少一个晶盒(例如标号106A)时,可以在其他晶盒之一的上方和/或下方形成各个区域(例如标号731A、731B等),以允许具有固定托板的机械手用第一固定机器托板访问第一晶盒而不与第二固定机械手托板和组合工具壁731C发生碰撞。因此,在一个方面,可以形成区域731B以允许第一托板711A访问下部晶盒106B中的位置,同时允许下部托板711B进入区域731B而不会与壁731C碰撞。尽管图16I图示了机械手托板711A-711B固定到支撑件720的支撑表面720A-720B并因而不采用机械手托板致动器721这样的构造,但是也可以使用带有机械手托板致动器的其他实施例而不脱离本发明的基本范围。
C.穿梭机械手
图17A-17C图示了穿梭机械手110的一种实施例,它能够用于将衬底在各个处理架中的邻近室之间进行传送。这种设计在将衬底在烘烤处理室(例如烘烤室90、HMDS处理室70、PEB室130等)与后续处理步骤中所用的冷却室80之间进行传送时较有利,例如在后BARC烘烤步骤512与后BARC冷却步骤514之间以及后光刻胶涂敷烘烤步骤522与后光刻胶冷却步骤524之间。因此,穿梭机械手110用于减小各个系统机械手(例如前端机械手108、中央机械手107和后端机械手109)上的工作负载,从而允许系统机械手在衬底上完成其他处理步骤的时候去做其他工作。
图17A是一种构造的立体图,其中穿梭机械手110用于将衬底在三个邻近处理室之间进行传送,这些处理室例如两个烘烤室90与一个冷却室80。因此这种构造例如可以用在图4B所示第一中央处理架152中的烘烤室B1、冷却室C1与烘烤室B2之间。
图17B是一种构造的立体图,其中穿梭机械手110用于将衬底在两个邻近处理室之间进行传送,例如在烘烤室90与冷却室80之间。因此这种构造例如可以用于图4A所示前端处理架52中包含的烘烤室B1与冷却室C7之间、图4D所示后端处理架202中包含的PEB烘烤室PEB1与冷却室C3之间、或者图4A所示前端处理架52中包含的HMDS处理室P1与冷却室C1之间。
图17C是图17A或17B所示邻近处理室背面的立体图,意在示出穿梭机械手110的一种实施例。穿梭机械手110大体上包含机械手托板111和穿梭机械手致动器组件120。穿梭机械手致动器组件120大体上包含机械手托板致动器112、滑动组件113和机械手驱动组件119。机械手托板111大体上包含衬底保持区域111A和安装区域111B。安装区域111B是机械手托板111中用于将机械手托板111连接到机械手托板致动器112的区域(参见标号112A)。衬底保持区域111A可以用作传统的真空卡盘,其连接到真空产生源(未示出)以在衬底传送处理期间保持衬底。机械手托板致动器112是用于将机械手托板111升高或降低以能够将衬底从一个处理室向另一个处理室进行传送的装置。在一种实施例中,机械手托板致动器112是气缸。在一种实施例中,线性致动器(例如线性无刷伺服电机(未示出))安装在机械手托板致动器112与机械手托板111之间,以使机械手托板111可以延伸和/或缩回(例如从室进/出),从而可以利用抬升销或处理室中的其他衬底保持构件来完成衬底传送。
在一种实施例中,滑动组件113是线性滚珠轴承滑动件,它在穿梭机械手110将衬底在各个处理室之间传送时对穿梭机械手110进行导向。滑动组件113大体上包含梭子113A,机械手托板致动器112连接到该梭子。卡具119用于将梭子113A连接到机械手驱动组件119的带117,以使机械手驱动组件119能够使机械手托板111在各个处理室之间运动。
在一种实施例中,如图17C所示,机械手驱动组件119是皮带滑轮式系统,用于使机械手沿着滑动组件113的长度方向运动。在这种构造中,机械手驱动组件119大体上包含两个或更多个惰轮(idler pulleys)116A-116B、带117和电动机115,电动机115用于对机械手进行驱动和控制其位置。在一种实施例中,电动机115是带有集成编码器的DC伺服电动机,使系统控制器101可以对穿梭机械手110的位置保持跟踪和控制。在机械手驱动组件119的另一种实施例中,用直接驱动式线性无刷伺服电机取代皮带滑轮式系统,所述电机可以从Wood Dale,Illinois的DanaherMotion买到。
集成烘烤/冷却室
图18A图示了集成烘烤/冷却室800的一种实施例,它可以与组合工具的各种实施例结合使用。大体上,集成烘烤/冷却室800具有三个主要处理区域:输入区域830、冷却区域810和烘烤区域720,这些区域适于执行处理序列,其中执行各种烘烤方法步骤(例如后BARC烘烤步骤512、PEB步骤540等)和/或冷却方法步骤(例如后BARC冷却步骤514、后PEB冷却步骤542等)。集成烘烤/冷却室800可以在外壳804中包含两个或更多个访问端口802(图18A中示出了两个),这些访问端口适于允许外部机械手(例如前端机械手108、中央机械手107等(未示出))访问输入区域830和/或冷却区域810以拾取或放下衬底。外壳804大体上包含输入台外壳804A、冷却室外壳804B和烘烤室外壳804C,这些外壳适于将集成烘烤/冷却室800的各个区域隔离开。
在一种实施例中,输入区域830用于从外部机械手接收衬底。输入区域830大体上是包含衬底交换装置的密闭区域,所述衬底交换装置例如抬升销836或某种其他类似装置,用于使外部机械手能够在集成烘烤/冷却室800中拾取或放下衬底。输入区域830还构造成允许冷却传送臂组件832从抬升销836拾取和放下衬底。
冷却传送臂组件832大体上包含冷却托板833,冷却托板833具有托板接收表面834和多个切口835,这些切口适于使冷却托板833能够从集成烘烤/冷却室800的各个处理区域中的各个衬底交换装置拾取、保持和放下衬底。在一种实施例中,冷却传送臂组件832的冷却托板833包含热交换装置837(图18B),热交换装置837与托板接收表面834处于热连通状况,从而可以对位于托板接收表面834上的衬底温度进行控制。在一个方面,使用温度控制装置838(图18B)来监视和控制热交换装置837的温度,温度控制装置838与系统控制器101相连。热交换装置837可以是热电装置和/或嵌入式加热元件,使得可以控制衬底温度。在一个方面,热交换装置837可以包含嵌入冷却托板833中的多个流体通道(未示出),这些流体通道设置成允许控温热交换流体经它们流动。托板接收表面834可以包含机械构件(未示出)以将衬底保持在接收表面上。在一个方面,托板接收表面834可以包含多个真空端口(未示出),这些真空端口连接到真空源(未示出)以保持衬底并确保衬底与托板接收表面834之间的紧密接触。
图18B图示了冷却传送臂组件832的一种实施例,其采用冷却托板致动器组件839,与上文中结合图17C所述穿梭机械手致动器组件120相似,该致动器组件938用于在集成烘烤/冷却室800的多个处理区域的任一个中控制冷却托板组件832的位置。注意,为了清楚起见,冷却托板致动器组件839与穿梭机械手致动器组件120中所用的相同元件的标号并未改变。在冷却传送臂组件932的一个方面,采用系统控制器101来在垂直和水平方面将冷却托板组件832定位在集成烘烤/冷却室800的各个处理区域中任一个。使用冷却托板致动器组件839来对冷却托板833进行定位,冷却托板致动器组件839上安装有集成烘烤/冷却室800的一个或多个表面。参考图18A-18B,外壳804包含多个外壳切口806,这些外壳切口允许冷却托板833将衬底在集成烘烤/冷却室800的各个处理区域之间进行传送。
参考图18A,冷却区域810包含参考图10A所示和所述的冷却室80的部件。在冷却区域810的一个方面,外壳804B包含一个或多个外壳切口806,使冷却传送臂组件832能够方便地将衬底在集成烘烤/冷却室800的各个处理区域之间进行传送。
烘烤区域820可以包含参考图10B-10D所示和所述的烘烤室90、HMDS处理室70或PEB室130的全部组件。在烘烤区域820的一个方面,外壳804C包含一个或多个外壳切口806,以使冷却传送臂组件832能够将衬底在集成烘烤/冷却室800的各个处理区域之间进行传送。
当集成烘烤/冷却室处于使用状态时,外部机械手将衬底经过访问端口802输送到输入区域830的抬升销836。然后,位于抬升销836下方的冷却托板833垂直运动,将衬底从抬升销836卸下并将衬底定位在托板接收表面834上。然后,冷却托板833运动到烘烤区域820,冷却托板833在该处放下衬底并随后离开烘烤区域820,使得可以对衬底执行烘烤处理。在执行烘烤处理之后,冷却托板834从烘烤区域820拾取衬底,将衬底传送到冷却区域810中的衬底交换装置,然后离开冷却区域810。在执行冷却处理之后,用外部机械手经过访问端口802从冷却区域810取出衬底。在一个方面,在执行冷却处理之后,冷却托板833从冷却区域810取出衬底并将衬底放在输入区域中的抬升销836上。这种构造因为下述原因而可能较有利:因为使冷却区域810可以对新的衬底完成冷却处理和/或允许外部机械手从与它放下衬底处相同的位置拾取衬底。
将PEB与扫描机/步进机集成的组合工具构造
图19A图示了本发明一种实施例的俯视图,其中组合工具包含组合工具10A和步进机/扫描机5A。在这种构造中,PEB室5C(即上述部件130(图10D))被集成到步进机/扫描机5A中,而步进机/扫描机从组合工具10A中脱离。这种构造具有优于现有技术的好处,因为步进机/扫描机的吞吐量常常比匀胶显影式组合工具的吞吐量高许多倍,因此将一个步进机/扫描机专用于单一的匀胶显影系统浪费了步进机/扫描机更大的生产能力。本实施例允许单一的步进机/扫描机为多个匀胶显影系统提供服务,同时还通过在步进机/扫描机中执行PEB步骤540和后PEB冷却步骤542而使曝光处理之后光刻胶稳定。
在一种实施例中,如图19A所示,组合工具10A可以包含与上文中联系图1B所示和所述的前端模块50、中央模块150和后端模块200。在这种构造中,组合工具10A没有与步进机/扫描机集成,因此从后端模块200除去了后端机械手109(示于图2E中)以节省成本并降低系统复杂性。在其他实施例中,在不脱离本发明基本范围的情况下,组合工具10A可以包含其他数目的处理室和/或处理架。
在这种构造中,步进机/扫描机5A大体上包含一个或多个PEB室5C以及一个或多个冷却室5B(即上述部件80(图10A))。所需的PEB室和冷却室的数目取决于步进机/扫描机5A的吞吐量需求以及PEB和冷却室中的处理时间。实际上,PEB室5C和/或冷却室5B可以用作步进机/扫描机的的输入工作台和/或输出工作台,使步进机/扫描机机械手(未示出)有地方拾取和返回衬底。在一种实施例中,PEB室5C既用于对衬底进行加热又用于对其进行冷却(上文所述),其中可以将至少两个PEB室在图19A中未示出的位置5B和5C处集成到步进机/扫描机中。在一种实施例中,PEB室5C适于既对衬底进行加热又对其进行冷却(上文所述),其中只将一个PEB室集成到步进机/扫描机5中。
图19B图示了包含各种处理配方步骤的方法步骤504的一种实施例,它可以与图19A所示的组合工具10A和步进机/扫描机5A结合使用。在这种实施例中,处理序列可以被分为三个不同的部分,即组合工具阶段1、步进机/扫描机阶段、以及组合工具阶段2。组合工具阶段1包括传送到步进机/扫描机之前完成的所有处理步骤,这些步骤可以包括:从晶舟卸下衬底的步骤508A、BARC涂敷步骤510、后BARC烘烤步骤512、后BARC冷却步骤514、光刻胶涂敷步骤520、后光刻胶涂敷烘烤步骤522、后光刻胶冷却步骤524、光学晶边去除(optical edge bead removal,OEBR)步骤536、以及置于晶舟中步骤508B。然后将衬底的晶舟从组合工具10A卸下并放在步进机/扫描机5A上,使得步进机扫描机可以执行其处理步骤,这些处理步骤可以包括:从晶舟卸下衬底的步骤508A、曝光步骤538、后曝光烘烤(PEB)步骤540、后PEB冷却步骤542以及置于晶舟中的步骤508B。然后将衬底的晶舟从步进机/扫描机5A卸下,使得可以完成组合工具阶段2,该阶段可以包括:置于晶舟中的步骤508A、显影步骤550、后显影冷却步骤554和置于晶舟中的步骤508B。在其他实施例中,在不脱离本发明基本范围的情况下,可以对方法步骤504的序列进行重排、更替、可以除去一个或多个步骤、或者可以将两个或更多个步骤合并在单一的步骤中。
卵形系统构造
图20A-20B图示了组合工具10的另一种实施例,其中如图4A-4K所示包含在各个处理架(例如前端处理架52、第一中央处理架152等)中的处理室不是以线性方式定位,而是围绕系统中公共的中心位置进行布置。对室进行线性定位的一个缺点是,机械手可能难以到达处理架中最顶部和最底部的位置,或者需要具有更大的臂延伸范围的更大机械手来完全利用所有的可用空间。在使用6轴多关节型机械手的情况下这个问题特别突出,因为这些机械手的到达范围受到离中心点的距离限制。在处理室处于线性布置的架顶部或末端时,这个问题变得更加明显,因为这些室离机械手中央距离最远。处于机械手到达范围之外的任何室都不能被访问,所以在某些情况下,处理架高度可能不能完全利用。因此,这种问题要求必须有额外的室和/或机械手来访问这些室,增大了成本和机器占地。
在一种实施例中,如图20A所示,可以使用一种替换定位方式来使机械手能够访问处理室,该定位方式可以认为是卵形或半球形。图20A是卵形组合工具构造的侧视图,其中机械手R1能够访问构成半球形的处理室(标有PM1-PM12)。在这种构造中,角部堆叠(corner stacks)的最顶部和最底部的站可以朝向轨迹中心运动,进一步减小了机械手需要移动以为之服务的距离。在这种情况下,角部堆叠从中央到顶部以及从中央到底部被联结成楼梯状模式。这使得具有较小到达范围的较小机械手也可以使用,并且较小的到达距离可以降低机械手的处理时间。
图20B图示了一种实施例的立体图,其中,多个垂直间隔的处理室(标有PM1-PM18)围绕机械手的中央位置(标有R1)布置。这种构造通过使“角部”的堆叠更接近轨迹中央,使机械手更容易达到它们,从而利用了6轴多关节型机械手提供的球形工作区域的优点。
在本发明的一个方面,图20A和20B所示的构造结合起来,形成处理室围绕机械手组成的完整球形、部分球形或半球形定位,以减小机械手为访问处理室所需运动的距离,并减小了处理室之间的传送时间。
龙门机械手设计构造
图21A-21D图示了使用多个机械手的组合工具10的另一种实施例,这些机械手在各个处理架附近布置成平行处理结构,使得可以执行期望的处理序列。在一种实施例中,平行处理构造包括三个机械手(图21B中标号420、430和450),它们沿垂直(下文中定义为z方向)和平行的方向运动,以访问沿平行方向对准的处理架中保持的各个处理室。这种系统构造的一个优点是如果中央区域425的机械手之一损坏或被拆下检修,系统仍然能够用其他两个机械手继续处理衬底。这种构造的另一个优点是柔性和模块化的架构使用户能够对所需的处理室、处理架和处理机械手数目进行设置,以满足用户需要的吞吐量。
图21A是图示了组合工具10一种实施例的立体图,该该组合工具10包含三个机械手,这些机械手适于访问第一处理架460和第二处理架480中垂直堆叠的各个处理室。图21A中未示出通常连接到后端区域445的步进机/扫描机5。
图21B-21C是图21A所示组合工具实施例的俯视图和侧视图。图21A-21C意在图示可以与本实施例结合使用的各种机械手和处理室构造中的一些。在这种构造中,组合工具10大体上包含前端区域405、中央区域425和后端区域445。前端区域405大体上包含一个或多个晶舟组件105和前端机械手410。一个或多个晶舟组件105(或FOUP)通常适于接受一个或多个晶盒106,所述晶盒106可以包含有要在组合工具10中处理的一个或多个衬底“W”或晶片。中央区域425大体上包含第一中央机械手420、第二中央机械手430、第三中央机械手440、第一处理架460和第二处理架480。第一处理架460和第二处理架480包含了各种处理室(例如涂覆/显影室60、烘烤室90、冷却室80等),这些处理室适于执行衬底处理序列中包括的各个处理步骤。前端机械手410适于将衬底在安装于晶舟组件105中的晶盒以及第一处理架460或第二处理架480中与前端区域405邻近的一个或多个处理室之间进行传送。
第一中央机械手420、第二中央机械手430和第三中央机械手440适于将衬底传送到第一处理架460和第二处理架480中包含的各个处理室。在一种实施例中,第二中央机械手430适于将衬底在第一处理架460与第二处理架480之间传送。
参考图21B,在本发明的一个方面,第一中央机械手420适于从至少一侧(例如所示的第一侧471)访问第一处理架460中的处理室。在另一个方面,第二中央机械手430适于从至少一侧访问第一处理架460中的处理室并从至少一侧访问第二处理架480,例如第一处理架的第二侧472和第二处理架480的第一侧473。在一个方面,第三机械手450适于从至少一侧(例如所示的第二侧474)访问第二处理架480中的处理室。在一个方面,第一处理架460的第一侧471、第一处理架460的第二侧472、第二处理架480的第一侧473以及第二处理架480的第二侧474都沿着与各个机械手组件(即第一中央机械手420、第二中央机械手430、第三中央机械手450)的水平运动组件490(下文中说明)平行的方向对准。
在一种实施例中,后端区域445包含后端机械手440,后端机械手适于将衬底在与后端区域445和步进机/扫描机5邻近的第一处理架460和第二处理架480中包含的处理室之间传送。
图21D图示了站在最接近第三中央机械手440那侧面向第一处理架460看去时,第一处理架460一种实施例的侧视图,因此与图21A-21C所示的视图一致。第一处理架460大体上包含一个或多个涂覆/显影室60、一个或多个冷却室80、一个或多个烘烤室90、一个或多个OEBR室62、一个或多个PEB室130、一个或多个辅助室65和/或一个或多个HMDS室70。在一种实施例中,如图21D所示,第一处理架460包含八个涂覆/显影室60(标有CD1-CD8)、十八个冷却室80(标有C1-C18)、八个烘烤室90(标有B1-B8)、六个PEB室130(标有PEB1-PEB6)、两个OEBR室62(标有62)和/或六个HMDS处理室70(标有P1-P6)。
图21E图示了站在最接近第三中央机械手440那侧面向第二处理架480看去时,第二处理架480一种实施例的侧视图,因此与图21A-21C所示的视图一致。第二处理架480大体上包含一个或多个涂覆/显影室60、一个或多个冷却室80、一个或多个烘烤室90、一个或多个OEBR室62、一个或多个PEB室130、一个或多个辅助室65和/或一个或多个HMDS室70。在一种实施例中,如图21E所示,第二处理架480包含四个涂覆/显影室60(标有CD1-CD4)、二十四个冷却室80(标有C1-C24)、十二个烘烤室90(标有B1-B12)、六个PEB室130(标有PEB1-PEB6)和/或六个辅助室65(标有S1-S6)。
图21A-21E所示处理室的方向、位置和数目不应认为是对本发明范围的限制,而是为了图示本发明的各种实施例。
图21F图示了使用图21A-21D所示组合工具结构的处理步骤,其中每个组合工具的机械手将用于完成图3A所示方法步骤501。标有“A”的方框中包括的方法步骤508A、510、550和508B是由前端机械手410服务的。在一种实施例中,BARC涂敷步骤510是在与前端区域405邻近的第一处理架460中安装的涂覆室60A中完成的。参考图21B、21D和21F,前端机械手410将衬底从晶盒组件105取下并将衬底放在第一处理架460中标有CD1或CD2的涂覆室60A之一中。在另一种实施例中,BARC涂敷步骤510是在与前端处理区域405邻接的第一处理架460或第二处理架480中安装的涂覆室中完成的。在这种实施例中,显影步骤550可以在与前端区域405邻近的第二处理架480中安装的冷却室80中完成。
在一种实施例中,在标有“B”的虚线框中包括的方法步骤510到536之间传送衬底的处理是用第一中央机械手420和第二中央机械手430与包含在第一处理架460中的室来完成的。在另一种实施例中,第二中央机械手430可以用于向/从第一处理架460和第二处理架480传送衬底,使得在需要时可以使用这些处理架中可用的室来满足处理序列的要求。
在一种实施例中,在标有“C”的方框中包括的处理步骤536到550之间传送衬底的处理是用后端机械手450完成的。在一种实施例中,OEBR步骤536是在与后端区域445邻近的第一处理架460中安装的OEBR室62中完成的。参考图21B和21D,后端机械手450从OEBR室62取下衬底并将衬底在完成曝光步骤538的步进机/扫描机5中交换。在完成曝光步骤538之后,后端机械手450将衬底从步进机/扫描机5取出并将衬底放在第一处理架460或第二处理架480中包含的标有PEB1-PEB6的PEB室之一中。
在一种实施例中,在标有“D”的方框中包括的处理步骤540到550之间传送衬底的处理是用第二中央机械手430和第三机械手440以及第二处理架480中包含的室完成的。在另一种实施例中,第二中央机械手430可以用于将衬底向/从第一处理架460和第二处理架480传送,使得在需要时可以用这些处理架中可用的室来满足处理序列的需要。
参考图21B、21D和21F,在完成处理步骤550之后,前端机械手410将衬底从标有CD1或CD2的显影室之一取出并将衬底放在其相应的晶舟组件105中。
图21G图示了机械手组件411的一种实施例,该组件可以用作前端机械手410、第一中央机械手420、第二中央机械手430、第三中央机械手440和/或后端机械手450。机械手组件411大体上包含机械手硬件组件485、水平运动组件490和两个垂直运动组件495。机械手硬件组件485大体上包含传统的选择性适应的多关节型机械手臂(SCARA)机械手,其包含两个可以独立控制的臂/托板。在另一种实施例中,如图21H所示,用单一托板式机械手硬件组件485来传送衬底。例如在将下一个衬底放在处理室中之前机械手必须从同一处理室中取出衬底的情况下,双托板式机械手可能较有利。一种示例性双托板式机械手可以从Fremont,CA的AsystTechnologies买到。
在组合工具10的一种实施例中,前端机械手410、第一中央机械手420、第二中央机械手430、第三中央机械手440和/或后端机械手450可以用于将衬底以两个或更多个为一组进行传送,以通过对衬底进行并行处理而提高系统吞吐量。例如,在一个方面,用包含多个可独立控制的臂/托板的机械手来从多个处理室拾取多个衬底,然后将衬底传送并放在多个后续处理室中。在一个方面,机械手适于用具有多个托板的臂同时进行拾取或放下,这些托板间隔开期望的距离或间距。例如,前端机械手410、第一中央机械手420、第二中央机械手430、第三中央机械手440和/或后端机械手450可以具有安装在支撑件720(示于图16A-16B中)上的一对托板组件715A和715B,所述支撑件720连接到SCARA机械手的可独立控制的臂/托板的末端。在另一个方面,机械手适于分别拾取、传送和放下多个衬底。例如,双臂机械手适于用第一臂或托板从第一室拾取衬底,然后运动到第二处理室以用第二臂或托板拾取衬底,使得这些衬底可以被成组传送和放下。
参考图21G-21I,水平运动组件490大体上包含外壳491、机械手致动器489、机械手支撑接口487、线性滑动件488和电缆导向件492。线性滑动件488可以包含一个或多个线性滚珠轴承滑动件,或者在其将衬底在各个处理室之间进行传送时对机械手支撑接口487(例如机械手基座接口)和机械手硬件组件485进行导向的传统线性导向件。在一种实施例中,机械手致动器489是图21I所示直接驱动式线性无刷伺服电机,它适于使机械手支撑接口487相对于线性滑动件488运动,其中线性滑动件488安装在外壳491的支撑结构486上。图21H图示了水平运动组件490的一种实施例,其中电动机489A(例如DC伺服电机、步进电机等)以及沿水平运动组件490的长度方向水平运行的带(未示出)和滑轮系统(未示出)适于对机械手支撑接口487进行传送和定位,使得可以在处理室之间传送衬底。
图21H图示了图21G所示机械手组件一种实施例的立体图,意在图示水平运动组件490和垂直运动组件495中包含的内部部件。垂直运动组件495大体上包含抬升轨组件495A、抬升致动器495B和垂直外壳495D(参见图21G,图21H中未示出)。抬升轨组件495A包含结构支撑件496和导向机构494,以使水平运动组件490精确地升高和降低。结构支撑件496是传统结构元件,例如工字梁或其他公知的结构元件,它设计成将机械手组件411连接到组合工具10中的框架部件(未示出)并支撑由垂直运动组件495和水平运动组件490的部件产生的重量和载荷。导向机构494可以是线性滚珠轴承滑动件或传统的线性导向件,它能够在水平运动组件490沿导向机构494垂直运动时对其进行对准和精确导向。
参考图21H,在垂直运动组件495的一种实施例中,抬升致动器495B包含电动机495C(例如DC伺服电机、步进电机或其他类型致动器),该电动机与带和滑轮构造(未示出)结合使用以将水平运动组件490及其部件升高和降低。在垂直运动组件495的另一种实施例(未示出)中,抬升致动器495B是直接驱动式线性无刷伺服电机,它可以从Wood Dale,Illinois的Danaher Motion买到。在机械手组件411的一种实施例中,每个垂直运动组件都包含抬升致动器495B以将水平运动组件490和其他支撑部件升高和降低。在机械手组件411的另一种实施例中,单一抬升致动器495B安装到两个垂直运动组件495之一上,另一垂直运动组件495只包含导向机构494。
图21I图示了水平运动组件490中包含的外壳491一种实施例的立体图。外壳491适于为了安全和减小污染方面的原因而覆盖和支撑水平运动组件490中的部件。由于颗粒产生通常是由滚动、滑动或彼此接触的机械部件产生的,所以确保衬底在组合工具中传送期间水平运动组件490以及垂直运动组件495中的部件不给衬底造成缺陷很重要。外壳491大体上包含多个壁(参见标号491A-491F)和支撑结构486,它们形成密闭区域,使得外壳内产生的颗粒到达衬底表面的机会最小化。支撑结构486是壁491A-491F、机械手致动器489、机械手硬件组件485和线性滑动件488都连接到的结构部件。
风扇单元493适于经过外壳491的一个壁中形成的风扇端口491G将空气从外壳491内部抽出,并推动含有颗粒的空气经过过滤器(未示出)以在其排入(参见标号“A”)组合工具10中之前除去颗粒。在这种构造中,风扇单元493中包含的风扇493A被设计成在外壳491内产生负压,使得外壳外部的空气被抽入外壳中,从而限制外壳491内产生的颗粒泄漏的可能性。在一种实施例中,过滤器(未示出)是HEPA式过滤器或能够从空气中除去所产生颗粒的其他类型过滤器。图21I所示结构图示了用三个风扇单元493从外壳抽取空气的实施例。在另一种实施例中,在不脱离本发明范围的情况下,可以使用单风扇单元的系统或双风扇单元的系统代替如图所示的三风扇单元493的构造。
在抬升轨组件495A的一种实施例中,风扇单元493(未示出)适于从每个垂直外壳495D的内部抽取空气,以使垂直运动组件495内部产生的颗粒给衬底表面上形成的器件造成缺陷的可能性最小化。
衬底中心判定装置
为了在市场上更有竞争力并从而降低CoO,电子器件制造商经常花费大量时间试图改善系统可用时间和系统可靠性,以减少衬底废弃并提高总的系统吞吐量(即每周的初制晶片(wafer starts))。可能影响系统可用时间和可靠性的一个因素是各个处理室中衬底的错放,它可能造成衬底损坏(例如破碎、衬底断裂等)。对衬底的损伤可能造成用户关断当前处理、将经过部分处理的衬底全部毁弃、清洁受到影响的(一个或多个)处理室然后重新起动处理序列,这些造成了严重的系统不可用时间和成本。通常,为了防止由于一个处理室或其他处理室的失准造成衬底间的处理变动以及对衬底的损伤,要对机械手进行反复校准以从传送位置拾取和放下衬底。传送位置可以是例如处理室抬升销之间的中心点或卡盘的中心点。
为了解决这些问题,在组合工具10的一种实施例中,使用了衬底位置误差检测和校正系统1200(下文中称为SPEDAC 1200)。图22A图示了处理架中保持的两个邻近处理室1220(例如烘烤室90、冷却室80、涂覆/显影室60等)的立体图,这两个处理室具有安装在其各自开口88外侧的衬底位置误差检测和校正系统1200。图22A图示了SPEDAC系统1200的一种实施例,其中输送器1206安装在顶部支撑件1204上,检测器1205安装在底部支撑件1203上,它们都连接到处理室1220。
SPEDAC系统1200在衬底传送机械手托板进入或离开各个处理室中的开口88时确定衬底传送机械手托板上是否存在衬底,并通过在后续传送步骤中对机械手托板1210进行重定位来校正任何误差。SPEDAC系统1200使用从两对发送器1206向检测器1205发送的一对光束(标号“A”)来在衬底经光束通过时检测其位置,并调整机械手位置以补偿衬底位置中的任何误差。当检测到衬底位置误差时,系统确定失准量,并且在这种失准量可校正时通过机械手托板位置的运动对其进行校正,或者警告操作员使其进行干涉。1996年10月8日授权的题为“Wafer PositioningSystem”的美国专利No.5,563,798、1996年1月9日授权的题为“Systemand Method for Automated Positioning of a Substrate in a ProcessingChamber”的美国专利No.5,483,138以及1999年11月9日授权给Freerks等人的美国专利申请No.5,980,194描述了对于机械手托板上衬底失准进行检测和补偿的示例性方法的进一步说明,这些申请的全部内容通过引用而结合到不与本发明矛盾的程度。2003年4月29日授权给Freeman等人的美国专利申请No.6,556,887中描述了控制机械手位置并从而控制衬底位置的示例性方法的一种示例,该申请的全部内容通过引用而结合到不与本发明矛盾的程度。
全局定位
可以用于通过防止衬底损坏(例如破碎、衬底断裂)而改善系统可用时间和系统可靠性的另一种实施例是使用全局定位系统(GPS)(未示出)来对衬底托板位置和/或衬底位置中的误差进行跟踪和校正。在这种构造中,全局定位检测系统用于限定机械手托板(衬底或机械手终端执行器)相对于预定系统基准的位置。通常,通过在对于每个控制轴线的驱动电动机轴上安装编码器来提供机械手托板位置的位置反馈,所述编码器可以报告电动机的位置而不是机械手托板的实际位置。实际位置可能因为下列可能发生的原因而不同于所报告的位置:各个驱动部件之间的耦合松弛、不当的机械手参数设置、机械手位置控制漂移、未检测到的运动失效、以及硬件碰撞。因此,为了解决这些问题,本发明的实施例可以用于跟踪机械手托板的实际位置并从而跟踪衬底位置。在一种实施例中,全局定位装置1300和通信系统(例如RF发送器1302、电缆等)集成到机械手托板或机械手中,以测量其位置并反馈给系统控制器101。因此,通过使用此前对于各个传送位置收集的三维坐标系测量结果,使用GPS传感器或其他装置,系统控制器可以通过对各个机械手部件的位置进行调整而校正托板位置的误差。通过使用传统控制装置来对机械手部件进行定位,所述传统控制装置可以包括编码器和用于控制机械手位置的其他装置反馈型装置。
在一种实施例中,可以通过全局定位装置1300的通信来完成托板位置的实时反馈,所述全局定位装置1300与安装在机械手托板附近的RF发送器1302通信,所述RF发送器与RF接收器1303通信,所述RF接收器与系统控制器101通信。全局定位装置1300的反馈使得可以将机械手托板的实际位置与命令位置进行比较,以消除位置漂移和由于未检测到的硬件故障造成的失效。
在一种实施例中,系统控制器101使用GPS系统和SPEDAC系统1200(上文所述)来对机械手位置放置误差以及衬底对机械手托板的失准误差进行校正。因此这种实施例可以用来对衬底放置误差或衬底相对于机械手托板的运动进行校正。
尽管上文针对本发明的一些实施例,但是在不脱离本发明基本范围的情况下,可以得到本发明其他的和进一步的实施例,本发明的范围由权利要求来限定。

Claims (46)

1.一种用于处理衬底的组合工具,包括:
第一衬底处理室;
第二衬底处理室,其中,所述第二衬底处理室与所述第一衬底处理室间隔固定垂直距离;
第三衬底处理室;
第四衬底处理室,其中,所述第四衬底处理室与所述第三衬底处理室间隔固定垂直距离;
第一机械手组件,用于访问所述第一衬底处理室和所述第二衬底处理室;以及
第二机械手组件,用于从所述第一衬底处理室接收一个或多个衬底并基本同时从所述第二衬底处理室接收一个或多个衬底,然后将来自所述第一衬底处理室的所述一个或多个衬底放在所述第三衬底处理室中并基本同时将来自所述第二衬底处理室的所述一个或多个衬底放在所述第四衬底处理室中。
2.根据权利要求1所述的装置,其中,所述第一衬底处理室、所述第二衬底处理室、所述第三衬底处理室和所述第四衬底处理室选自下列之一:涂覆室、显影室、六甲基二硅氮烷处理室、冷却室或烘烤室。
3.根据权利要求1所述的装置,其中,所述第二机械手组件包括:
机械手;
连接到所述机械手的第一机械手托板;
连接到所述机械手并与所述第一托板间隔一段距离的第二机械手托板;并且
所述距离在约100mm到约1200mm之间。
4.根据权利要求1所述的装置,其中,所述第二机械手组件包括:
6轴多关节型机械手;
连接到所述6轴多关节型机械手的第一机械手托板;和
连接到所述6轴多关节型机械手并与所述第一托板间隔一段距离的第二机械手托板。
5.根据权利要求1所述的装置,其中,所述第二机械手组件包括:
两个或更多个蛙腿机械手,所述两个或更多个蛙腿机械手具有至少一个机械手托板;和
控制器,适于对所述两个或更多个蛙腿机械手的运动进行协调。
6.根据权利要求1所述的装置,其中,所述第二机械手组件包括:
机械手;
水平传送装置,适于将所述机械手在基本与所述垂直方向正交的方向上定位;和
垂直传送装置,适于将所述机械手在基本平行于所述垂直方向的方向上传送。
7.根据权利要求1所述的装置,其中,所述第二机械手组件还包括:
连接到所述机械手的支撑件;
连接到所述支撑件的致动器;
连接到所述支撑件并具有衬底接收表面的第一机械手托板;以及
连接到所述致动器并具有衬底接收表面的第二机械手托板,其中,所述第一机械手托板与所述第二机械手托板之间形成间隙,并且所述致动器适于改变所述第一托板与所述第二托板之间的所述间隙。
8.一种用于处理衬底的组合工具,包括:
第一处理架,具有多个垂直堆叠的衬底处理室;
第二处理架,具有多个垂直堆叠的衬底处理室;
第一机械手托板组件,包括:
第一机械手托板;和
第一机械手托板致动器;
第二机械手托板组件,包括:
第二机械手托板;
第二机械手托板致动器;
其中,所述第一机械手托板组件和第二机械手托板组件的垂直位置分开固定距离,并可以用所述第一机械手托板致动器或所述第二机械手托板致动器对所述第一机械手托板组件和所述第二机械手托板组件分别进行水平定位;和
6轴多关节型机械手,连接到所述第一机械手托板组件和所述第二机械手托板组件,其中,所述第一机械手托板组件和所述第二机械手托板组件间隔固定距离,并且所述6轴多关节型机械手的协调运动适于基本同时访问位于所述第一处理架中两个垂直堆叠的衬底处理室中的衬底或基本同时访问位于所述第二处理架中两个垂直堆叠的衬底处理室中的衬底。
9.根据权利要求8所述的装置,其中:
所述第一机械手组件还包括:
第一底部机械手托板;和
第一底部机械手托板致动器;
其中,所述第一机械手托板和所述第一底部机械手托板的垂直位置间隔固定距离,并且可以用所述第一致动器或所述第一底部致动器对所述第一机械手托板和所述第一底部机械手托板分别进行水平定位;
所述第二机械手组件还包括:
第二底部机械手托板;和
第二底部机械手托板致动器;
其中,所述第二机械手托板和所述第二底部机械手托板的垂直位置间隔固定距离,并且可以用所述第二致动器或所述第二底部致动器对所述第二机械手托板和所述第二底部机械手托板分别进行水平定位。
10.一种用于处理衬底的组合工具,包括:
晶盒,适于容纳两个或更多个衬底;
第一模块,包括:
第一处理架,包括在垂直方向上堆叠的两个或更多个处理室;
第二模块,包括:
第二处理架,包括在垂直方向上堆叠的两个或更多个处理室;
第一机械手组件,适于访问衬底,所述衬底位于每个所述第一处理架、所述第二处理架的至少一个衬底处理室中和所述晶盒中;以及
第二机械手组件,包括:
机械手;
连接到所述机械手的第一机械手托板;和
连接到所述机械手、且位置与所述第一机械手托板间隔固定距离的第二机械手托板;
其中,所述第二机械手适于访问衬底,所述衬底位于每个所述第一处理架和所述第二处理架的至少一个处理室中,并且所述第一机械手托板和所述第二机械手托板适于基本同时对每个所述第一处理架和所述第二处理架中至少两个衬底处理室中的衬底进行传送、拾取和/或放下。
11.根据权利要求10所述的装置,其中,每个所述第一处理架和所述第二处理架中的两个或更多个垂直堆叠的衬底处理室从由下列项构成的组中选择:涂覆室、显影室、六甲基二硅氮烷处理室、冷却室、后曝光烘烤室或烘烤室。
12.根据权利要求10所述的装置,其中,所述第一机械手和所述第二机械手是多关节型机械手,其中,所述多关节型机械手是6轴多关节型机械手。
13.根据权利要求10所述的装置,其中,所述第一机械手和所述第二机械手是龙门机械手,其中,每个龙门机械手包括:
机械手;
水平传送装置,适于在与所述垂直方向基本正交的方向上对所述机械手进行定位;和
垂直传送装置,适于在与所述垂直方向基本平行的方向上对所述机械手进行传送。
14.根据权利要求13所述的装置,其中,所述水平传送装置和所述垂直传送装置中每个还包括:
电动机,适于对所述机械手进行定位;
滑动件,适于在所述电动机对所述机械手进行定位时对所述机械手进行导向;
一个或多个壁,形成围绕所述电动机和所述滑动件的外壳;和
风扇,安装到所述一个或多个壁中的一个上。
15.一种用于处理衬底的组合工具,包括:
第一处理架,包含衬底处理室的第一垂直堆叠;
第一机械手,适于将衬底传送到所述第一处理架中的衬底处理室;
第二处理架,包含衬底处理室的第一垂直堆叠;
第二机械手,适于将衬底在所述第一处理架中的衬底处理室与所述第二处理架中的衬底处理室之间进行传送;
控制器,适于对用所述第一机械手或第二机械手使所述衬底在所述第一处理架和所述第二处理架中进行的运动进行优化;和
存储器,耦合到所述控制器,所述存储器包括计算机可读介质,所述计算机可读介质包括嵌入其中的计算机可读程序,用于对所述组合工具的操作进行指挥,所述计算机可读程序包括:
控制所述第一机械手和第二机械手运动的计算机指令,包括:
i)将用于所述第一机械手和第二机械手的一个或多个命令任务储存在所述存储器中;
ii)检查保持在所述存储器中的用于第一机械手的命令任务;
iii)检查保持在所述存储器中的用于第二机械手的命令任务;
iv)将命令任务从所述第一机械手移动到所述第二机械手,或从所述第二机械手移动到所述第一机械手,以使每个机械手的可用性得到平衡。
16.一种用于处理衬底的组合工具,包括:
晶盒,适于容纳两个或更多个衬底;
第一处理架,包含衬底处理室的垂直堆叠,并具有沿第一方向延伸的第一侧,以通过所述第一侧访问所述衬底处理室;
第二处理架,包含衬底处理室的垂直堆叠,并具有沿第二方向延伸的第二侧,以通过所述第二侧访问所述衬底处理室,其中,所述第一侧和所述第二侧间隔一段距离;
第一机械手,具有基座,所述基座处于所述第二处理架的第二侧与所述第一处理架的第一侧之间的固定位置,其中,所述第一机械手适于将衬底传送到所述第一处理架、所述第二处理架中的衬底处理室和所述晶盒;
第三处理架,包含衬底处理室的垂直堆叠,并具有沿第三方向延伸的第三侧,以通过所述第三侧访问所述衬底处理室;
第四处理架,包含衬底处理室的垂直堆叠,并具有沿第四方向延伸的第四侧,以通过所述第四侧访问所述衬底处理室,其中,所述第三侧和所述第四侧间隔一段距离;和
第二机械手组件,包括:
机械手,具有基座,所述基座处于所述第三处理架的第三侧与所述第四处理架的第四侧之间的固定位置;
第一机械手托板,连接到所述机械手;和
第二机械手托板,连接到所述机械手,且位置与所述第一机械手托板间隔固定距离;
其中,所述第一机械手托板和所述第二机械手托板适于基本同时将衬底传送到所述第一处理架、所述第二处理架、所述第三处理架和所述第四处理架中的两个处理室。
17.根据权利要求16所述的装置,其中,所述第一机械手和所述第二机械手每个都是6轴多关节型机械手。
18.根据权利要求17所述的装置,其中,所述第一机械手还包括:
滑动组件,位于所述第一处理架的第一侧与所述第二处理架的第二侧之间,并位于所述第三处理架的第三侧与所述第四处理架的第四侧之间,其中,所述滑动组件适于将所述第二机械手在第五方向上定位,所述第五方向基本平行于所述第一方向、第二方向、第三方向和第四方向。
19.根据权利要求16所述的装置,其中,所述第一衬底处理室、所述第二衬底处理室、所述第三衬底处理室和所述第四衬底处理室选自下列之一:涂覆室、显影室、六甲基二硅氮烷处理室、冷却室或烘烤室。
20.根据权利要求16所述的装置,其中,所述第一机械手和所述第二机械手是6轴多关节型机械手;并且包括:
滑动组件,位于所述第一处理架的所述第一侧与所述第二处理架的所述第一侧之间,适于将所述第一机械手在第五方向上定位,所述第五方向基本平行于所述第一方向和所述第二方向。
21.一种用于处理衬底的组合工具,包括:
晶盒,适于容纳两个或更多个衬底;
第一处理室,适于对衬底进行第一处理;
第二处理室,适于对衬底进行第二处理,其中,所述第一处理室和所述第二处理室基本彼此邻近;
流体分配装置,适于与位于所述第一处理室中的第一衬底和位于所述第二处理室中的第二衬底流体连通,其中,所述流体分配装置包括:
流体源;
喷嘴,与所述流体源流体连通;
流体输送装置,适于将流体从所述流体源输送到所述喷嘴;
可动隔板,适于将所述第一处理室与所述第二处理室隔离开;和
机械手,适于将衬底在所述晶盒、所述第一处理室和所述第二处理室之间进行传送。
22.一种用于处理衬底的组合工具,包括:
第一处理架,包括:
第一处理模块,包括:
第一处理室,适于对衬底执行第一处理;
第二处理室,适于对衬底执行第二处理,其中,所述第一处理室和所述第二处理室基本彼此邻近;
流体分配装置,适于与正在所述第一处理室和所述第二处理室中处理的衬底流体连通,其中,所述流体分配装置包括:
流体源;
喷嘴,与所述流体源流体连通;
流体输送装置,适于将流体从所述流体源输送到所述喷嘴;和
可动隔板,适于将所述第一处理室与所述第二处理室隔离开;
第二处理模块,包括:
第三处理室,适于对衬底执行第一处理;
第四处理室,适于对衬底执行第二处理,其中,所述第一处理室和所述第二处理室基本彼此邻近;
流体分配装置,适于与正在所述第三处理室和所述第四处理室中处理的衬底流体连通,其中,所述流体分配装置包括:
流体源;
喷嘴,与所述流体源流体连通;和
流体输送装置,适于将流体从所述流体源输送到所述喷嘴;和
可动隔板,适于将所述第一处理室与所述第二处理室隔离开;
其中,所述第二处理模块与所述第一处理模块基本邻近;和
机械手,适于将衬底在所述第一处理室、所述第二处理室、所述第三处理室和所述第四处理室之间进行传送。
23.根据权利要求22所述的装置,其中,所述第一处理室在垂直方向上布置在所述第三处理室上方,所述第二处理室在垂直方向上布置在所述第四处理室上方。
24.根据权利要求22所述的装置,还包括:
机械手,具有支撑件,所述机械手具有第一机械手托板和第二机械手托板,所述第一机械手托板和所述第二机械手托板适于基本同时对位于两个处理室中的衬底进行访问,所述两个处理室选自由第一处理室、第二处理室、第三处理室和第四处理室构成的组。
25.一种用于处理衬底的组合工具,包括:
晶盒,适于容纳两个或更多个衬底;
处理模块,包括:
第一处理室,适于对处理区域中的衬底执行第一处理;
第二处理室,适于对处理区域中的衬底执行第二处理,其中,所述第一处理室与所述第二处理室基本彼此邻近;
机械手,适于将衬底传送并定位在所述第一处理室和所述第二处理室中,其中,所述机械手包括:
机械手托板;
致动器,适于将所述机械手托板在所述第一处理室和所述第二处理室中定位;和
热交换装置,与所述机械手托板热连通,并适于控制位于其上的衬底的温度;和
系统机械手,适于将衬底在所述晶盒与所述第一处理室之间进行传送。
26.根据权利要求25所述的装置,其中,所述第一处理室是冷却室,所述第二处理室是烘烤室、六甲基二硅氮烷处理室或后曝光烘烤室。
27.根据权利要求25所述的装置,还包括:
第二处理模块,其位置与所述第一处理模块邻近,其中,所述第二处理模块包括:
第三处理室,适于对处理区域中的衬底执行第一处理;
第四处理室,适于对处理区域中的衬底执行第二处理,其中,所述第三处理室与所述第四处理室基本彼此邻近;
机械手,适于将衬底传送并定位在所述第三处理室和所述第四处理室中,其中,所述机械手包括:
机械手托板;
致动器,适于将所述机械手托板在所述第一处理室和所述第二处理室中定位;和
热交换装置,与所述机械手托板热连通,并适于控制位于其上的衬底的温度;和
所述系统机械手,适于将衬底在所述晶盒与所述第一处理室、所述第二处理室、所述第三处理室和所述第四处理室之间进行传送。
28.一种用于处理衬底的组合工具,包括:
晶盒,适于容纳两个或更多个衬底;
处理模块,包括:
第一处理室;和
第二处理室,与所述第一处理室基本邻近;
第一机械手,适于访问位于所述第一处理室和所述第二处理室中的衬底,其中,所述第一机械手包括:
第一机械手托板组件,包括:
第一机械手托板;和
第二机械手托板,其中,所述第一机械手托板和所述第二机械手托板间隔一段距离;
第二机械手托板组件,包括:
第三机械手托板;和
第四机械手托板,其中,所述第三机械手托板和所述第四机械手托板间隔一段距离;
其中,所述第二机械手托板组件与所述第一机械手托板组件间隔固定距离;并且
其中,所述第一机械手适于基本同时访问所述第一处理室和所述第二处理室。
29.一种用于处理衬底的组合工具,包括:
第一处理架,包括两个或更多个垂直堆叠的衬底处理室,其中,所述第一处理架具有第一侧和第二侧;
第二处理架,包括两个或更多个垂直堆叠的衬底处理室,其中,所述第二处理架具有第一侧和第二侧;
第一机械手,适于从所述第一侧访问所述第一处理架中的衬底处理室;
第二机械手,适于从所述第二侧访问所述第一处理架中的所述衬底处理室并从所述第一侧访问所述第二处理架中的所述衬底处理室;和
第三机械手,适于从所述第二侧访问所述第二处理架中的所述衬底处理室。
30.根据权利要求29所述的装置,其中,所述第一机械手、第二机械手和第三机械手中每个具有第一致动器和第二致动器,其中,所述第一致动器适于使所述第一机械手、第二机械手或第三机械手在垂直方向上运动,所述第二致动器适于使所述第一机械手、第二机械手或第三机械手在与所述第一处理架或第二处理架的第一侧或第二侧平行的方向上运动。
31.根据权利要求30所述的装置,其中,所述第一致动器和所述第二致动器各自分别容纳在外壳中,其中,每个外壳包括:
一个或多个壁,形成外壳,其中,所述外壳具有内部区域,所述第一致动器和第二致动器位于所述内部区域;
一个或多个风扇组件,与所述外壳的所述内部区域连通,其中,所述风扇组件适于从所述外壳内部抽取空气;和
过滤器,定位成基本接收从所述内部区域抽取的空气。
32.根据权利要求29所述的装置,其中,所述第一处理架和所述第二处理架的所述第一侧和第二侧位于各个处理架上衬底处理室的相反侧。
33.一种用于处理衬底的组合工具,包括:
晶盒,适于容纳两个或更多个衬底;
第一处理架,包括:
在垂直方向上堆叠的两个或更多个衬底处理室的第一组,其中,所述两个或更多个衬底处理室具有沿第一方向延伸的第一侧和沿第二方向延伸的第二侧;
第一机械手组件,适于从所述第一侧访问位于所述第一处理架中至少一个衬底处理室中的衬底和所述晶盒中的衬底;
第二处理架,包括:
在垂直方向上堆叠的两个或更多个衬底处理室的第二组,其中,所述两个或更多个衬底处理室具有沿第三方向延伸的第一侧,以经过所述第一侧访问所述衬底处理室;和
第二机械手组件,包括:
机械手;
第一机械手托板;和
第二机械手托板,其中,所述第一机械手托板和所述第二机械手托板间隔一段距离;
其中,所述第二机械手组件适于基本同时从所述第二侧访问位于所述第一处理架中至少两个衬底处理室中的衬底,并基本同时从所述第三侧访问位于所述第二处理架中至少一个衬底处理室中的衬底。
34.一种用于处理衬底的组合工具,包括:
晶盒,适于容纳两个或更多个衬底;
12个或更多个涂覆/显影室;
12个或更多个处理室,所述处理室选自由烘烤室、六甲基二硅氮烷处理室或后曝光烘烤室构成的组;和
传送系统,主要由下列项组成:
第一机械手,适于访问衬底,所述衬底位于所述涂覆/显影室中至少一个、所述处理室中至少一个、以及所述晶盒中;和
第二机械手组件,适于访问衬底,所述衬底位于所述涂覆/显影室中至少一个和所述处理室中至少一个中,其中,所述第二机械手包括:
机械手;
第一机械手托板,连接到所述机械手;和
第二机械手托板,连接到所述机械手,且位置与所述第一机械手托板间隔固定距离;
其中,所述第二机械手适于基本同时访问位于至少两个涂覆/显影室中的至少一个衬底,并基本同时访问位于至少两个处理室中的至少一个衬底。
35.一种在组合工具中处理衬底的方法,包括:
用第一机械手将至少一个衬底插入到第一处理架中两个或更多个垂直堆叠的处理室中的各个处理室中;
在所述第一处理架中的所述两个或更多个垂直堆叠的处理室中处理所述衬底;
用第二机械手基本同时从所述第一处理架中的所述两个或更多个垂直堆叠的处理室中取出所述衬底;
用所述第二机械手基本同时将所述衬底传送到第二处理架中两个或更多个垂直堆叠的处理室中;以及
用所述第二机械手将所述衬底放在所述第二处理架中所述两个或更多个垂直堆叠的处理室中。
36.根据权利要求35所述的方法,其中,所述处理室中执行的所述处理选自由下列项构成的组:六甲基二硅氮烷处理、后曝光烘烤处理、底部抗反射涂敷处理处理、光刻胶涂敷处理、显影处理或光学晶边去除处理。
37.根据权利要求35所述的方法,还包括:
用第三机械手从所述第二处理架中的所述两个或更多个垂直堆叠的处理室取出衬底;和
用所述第三机械手将所述衬底插入第三处理架中两个或更多个垂直堆叠的处理室中。
38.根据权利要求35所述的方法,其中,用所述第二机械手基本同时将所述衬底传送到第二处理架中两个或更多个垂直堆叠的处理室的步骤包括:
用滑动组件将机械手基座沿第一方向定位;和
用6轴多关节型机械手将位于机械手托板上的衬底相对于所述机械手基座定位。
39.根据权利要求35所述的方法,其中,用第二机械手基本同时将所述衬底从所述第一处理架中的所述两个或更多个垂直堆叠的处理室取出的步骤包括:
用机械手托板致动器使第一机械手托板相对于支撑件延伸;
用机械手托板致动器使第二机械手托板相对于所述支撑件延伸;
通过对连接到所述第二机械手的所述支撑件进行定位,将位于所述第一处理室中的第一衬底定位在经延伸的所述第一托板上并将位于所述第二处理室中的第二衬底定位在经延伸的所述第二托板上;以及
将所述第一机械手托板和所述第二机械手托板缩回。
40.一种在组合工具中处理衬底的方法,包括:
用第一机械手将至少一个衬底插入第一处理架中两个或更多个垂直堆叠的处理室中;
在所述第一处理架中所述两个或更多个垂直堆叠的处理室中对所述衬底进行处理;
用第二机械手基本同时将所述衬底从所述第一处理架中所述两个或更多个垂直堆叠的处理室中取出,其中,取出所述衬底的步骤还包括:
对连接到与所述第二机械手相连的支撑件的机械手托板进行重定位,以防所述托板访问第一垂直堆叠的处理室;
对单独连接到第二垂直堆叠的处理室中支撑件的机械手托板进行定位;
将位于所述第二垂直堆叠的处理室中的衬底定位在所述机械手托板上;和
从所述第二垂直堆叠的处理室中取出所述机械手托板;以及
用所述第二机械手将所述衬底传送到两个或更多个垂直堆叠的处理室的第二组。
41.一种在组合工具中处理衬底的方法,包括:
用第一机械手将至少一个衬底经过两个或更多个垂直堆叠的处理室的第一侧插入,所述两个或更多个垂直堆叠的处理室位于组合工具中;
在所述处理室中处理所述衬底;
用第二机械手经过所述两个或更多个垂直堆叠处理室的第二侧基本同时将两个或更多个衬底取出;
用所述第二机械手将所述两个或更多个衬底同时传送到期望位置。
42.根据权利要求41所述的方法,其中,对所述衬底进行处理的步骤中执行的处理选自由下列项构成的组:六甲基二硅氮烷处理、后曝光烘烤处理、底部抗反射涂敷处理处理、光刻胶涂敷处理、显影处理或光学晶边去除处理。
43.一种在组合工具中处理衬底的方法,包括:
用机械手从晶盒取出衬底;
将第一衬底插入第一处理室中,所述第一处理室的位置邻近第二处理室;
通过将隔板设置在所述第一处理室与所述第二处理室之间,将所述第一处理室与所述第二处理室隔离开;
用连接到流体分配系统的喷嘴将处理流体分配到位于所述第一处理室中的所述衬底的表面上;
将第二衬底插入所述第二处理室中;以及
用连接到所述流体分配系统的所述喷嘴将处理流体分配到位于所述第二处理室中的所述第二衬底的表面上。
44.一种在组合工具中处理衬底的方法,包括:
将衬底定位在第一处理室中的衬底交换装置上,所述第一处理室的位置邻近第二处理室;
将所述衬底从所述第一处理室中的所述衬底交换装置传送到冷却机械手托板的衬底接收表面,其中,所述衬底接收表面适于对其上保持的所述衬底的温度进行控制;
用所述冷却机械手托板将所述衬底传送到所述第二处理室;和
用所述冷却机械手托板将所述衬底传送到第三处理室,其中,所述第三处理室与所述第二处理室邻近。
45.一种在组合工具中处理衬底的方法,包括:
将衬底定位在第一处理室中的衬底交换装置上,所述第一处理室的位置邻近第二处理室;
将所述衬底从所述第一处理室中的所述衬底交换装置传送到冷却机械手托板的衬底接收表面,其中,所述衬底接收表面适于对其上保持的所述衬底的温度进行控制;
用所述冷却机械手托板将所述衬底传送到所述第二处理室;
将所述第二处理室中的所述衬底加热到期望的温度;
用所述冷却机械手托板将所述衬底传送到第三处理室,其中,所述第三处理室邻近所述第二处理室;和
在所述第三处理室中将所述衬底冷却到期望的温度。
46.一种在组合工具中处理衬底的方法,包括:
从包含两个或更多个衬底的晶盒传送衬底,其中,所述晶盒保持在所述组合工具中;
在处理室中对衬底完成最终处理步骤;
将所述衬底从所述处理室传送到冷却室,所述冷却室适于执行冷却处理;和
将所述衬底从所述冷却室传送到所述晶盒。
CNA200580048568XA 2004-12-22 2005-12-21 用于处理衬底的组合工具架构 Pending CN101142656A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US63910904P 2004-12-22 2004-12-22
US60/639,109 2004-12-22
US11/112,281 2005-04-22
US11/112,932 2005-04-22

Publications (1)

Publication Number Publication Date
CN101142656A true CN101142656A (zh) 2008-03-12

Family

ID=39193608

Family Applications (2)

Application Number Title Priority Date Filing Date
CNA200580048568XA Pending CN101142656A (zh) 2004-12-22 2005-12-21 用于处理衬底的组合工具架构
CN2005800485660A Active CN101443131B (zh) 2004-12-22 2005-12-21 集成热单元

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2005800485660A Active CN101443131B (zh) 2004-12-22 2005-12-21 集成热单元

Country Status (3)

Country Link
US (18) US7396412B2 (zh)
JP (3) JP2012069957A (zh)
CN (2) CN101142656A (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104451868A (zh) * 2013-09-19 2015-03-25 信越化学工业株式会社 单晶金刚石的制造方法
TWI489580B (zh) * 2009-07-10 2015-06-21 Macronix Int Co Ltd 基底傳送方法及其裝置
CN105278259A (zh) * 2015-07-27 2016-01-27 江苏影速光电技术有限公司 单机双台面多工位自动pcb板曝光设备及其曝光方法
CN106298589A (zh) * 2015-06-26 2017-01-04 泰拉半导体株式会社 基板处理系统
TWI595963B (zh) * 2015-08-18 2017-08-21 Machvision Inc Automatic feeding device
CN114514094A (zh) * 2019-08-19 2022-05-17 应用材料公司 处理系统对准器站的校准
CN117681214A (zh) * 2024-02-04 2024-03-12 泓浒(苏州)半导体科技有限公司 基于晶圆传送的多机械臂碰撞预警方法及系统
CN117681214B (zh) * 2024-02-04 2024-04-12 泓浒(苏州)半导体科技有限公司 基于晶圆传送的多机械臂碰撞预警方法及系统

Families Citing this family (547)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005027568A1 (en) * 2003-09-16 2005-03-24 Koninklijke Philips Electronics N.V. Audio frequency range adaptation
JP4271095B2 (ja) * 2004-07-15 2009-06-03 東京エレクトロン株式会社 基板加熱装置及び基板加熱方法
JP4426403B2 (ja) * 2004-08-31 2010-03-03 東京エレクトロン株式会社 レーザー処理装置
JP5154006B2 (ja) * 2004-12-06 2013-02-27 株式会社Sokudo 基板処理装置
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7396412B2 (en) * 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US20070295276A1 (en) * 2004-12-22 2007-12-27 Sokudo Co., Ltd. Bake plate having engageable thermal mass
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
JP4955977B2 (ja) * 2005-01-21 2012-06-20 東京エレクトロン株式会社 塗布、現像装置及びその方法
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US20060236941A1 (en) * 2005-04-20 2006-10-26 Applied Materials, Inc. Passive wafer support for particle free wafer acceleration
US7513822B2 (en) * 2005-06-18 2009-04-07 Flitsch Frederick A Method and apparatus for a cleanspace fabricator
US9457442B2 (en) * 2005-06-18 2016-10-04 Futrfab, Inc. Method and apparatus to support process tool modules in a cleanspace fabricator
US20150227136A1 (en) * 2005-06-18 2015-08-13 Fred Flitsch Methods and apparatus for vertically orienting substrate processing tools in a clean space
CA2616760C (en) 2005-07-26 2014-10-07 Rox Medical, Inc. Devices, systems, and methods for peripheral arteriovenous fistula creation
JP4767641B2 (ja) * 2005-09-27 2011-09-07 大日本スクリーン製造株式会社 基板処理装置および基板搬送方法
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
JP4629574B2 (ja) * 2005-12-27 2011-02-09 日本発條株式会社 基板支持装置と、その製造方法
JP4527670B2 (ja) * 2006-01-25 2010-08-18 東京エレクトロン株式会社 加熱処理装置、加熱処理方法、制御プログラムおよびコンピュータ読取可能な記憶媒体
US20080050679A1 (en) * 2006-02-22 2008-02-28 Sokudo Co., Ltd. Methods and systems for performing immersion processing during lithography
US7824934B2 (en) * 2006-02-24 2010-11-02 Tokyo Electron Limited Substrate processing apparatus, parameter management system for substrate processing apparatus, parameter management method for substrate processing apparatus, program, and storage medium
WO2007105455A1 (ja) * 2006-02-28 2007-09-20 Ulvac, Inc. ステージ装置
US20090092467A1 (en) * 2006-03-06 2009-04-09 Yasuzou Tanaka Stage apparatus
JP4994074B2 (ja) * 2006-04-20 2012-08-08 東京エレクトロン株式会社 基板洗浄装置,基板洗浄方法,基板処理装置
US20070247165A1 (en) * 2006-04-25 2007-10-25 Applied Materials, Inc. Wafer backside particle detection for track tools
US7521915B2 (en) * 2006-04-25 2009-04-21 Sokudo Co., Ltd. Wafer bevel particle detection
US20070254493A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Integrated thermal unit having vertically arranged bake and chill plates
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
WO2008008727A2 (en) * 2006-07-10 2008-01-17 Applied Materials, Inc. Scheduling method for processing equipment
US20080051930A1 (en) * 2006-07-10 2008-02-28 Oh Hilario L Scheduling method for processing equipment
KR101412398B1 (ko) * 2006-07-19 2014-06-25 인터몰레큘러 인코퍼레이티드 분리 및 이산화된 프로세스 시퀀스 통합을 위한 방법 및 시스템
US7867904B2 (en) * 2006-07-19 2011-01-11 Intermolecular, Inc. Method and system for isolated and discretized process sequence integration
JP4801522B2 (ja) * 2006-07-21 2011-10-26 株式会社日立ハイテクノロジーズ 半導体製造装置及びプラズマ処理方法
US7935948B2 (en) * 2006-08-11 2011-05-03 Sokudo Co., Ltd. Method and apparatus for monitoring and control of suck back level in a photoresist dispense system
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
JP2008072016A (ja) * 2006-09-15 2008-03-27 Tokyo Electron Ltd 液処理装置、液処理方法及び記憶媒体
JP5013400B2 (ja) * 2006-09-29 2012-08-29 国立大学法人東北大学 塗布膜コーティング装置
US7460972B2 (en) * 2006-10-19 2008-12-02 Sokudo Co., Ltd. Methods and systems for performing real-time wireless temperature measurement for semiconductor substrates
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US20080099181A1 (en) * 2006-10-31 2008-05-01 Sokudo Co., Ltd. Method to cool a bake plate using an actively chilled transfer shuttle
US20080145191A1 (en) * 2006-11-15 2008-06-19 Sokudo Co., Ltd. Actively chilled substrate transport module
JP5023679B2 (ja) * 2006-12-05 2012-09-12 東京エレクトロン株式会社 塗布、現像装置及びその方法並びに記憶媒体
JP4777232B2 (ja) * 2006-12-27 2011-09-21 東京エレクトロン株式会社 基板の処理方法、基板の処理システム及びプログラムを記憶したコンピュータ読み取り可能な記憶媒体
US8740670B2 (en) 2006-12-28 2014-06-03 Saint-Gobain Ceramics & Plastics, Inc. Sapphire substrates and methods of making same
KR101291112B1 (ko) * 2006-12-28 2013-08-01 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 사파이어 기판 연마 방법
WO2008083081A2 (en) * 2006-12-28 2008-07-10 Saint-Gobain Ceramics & Plastics, Inc. Sapphire substrates and methods of making same
KR101203932B1 (ko) * 2006-12-28 2012-11-23 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 사파이어 기판 및 그 제조 방법
US20080155852A1 (en) * 2006-12-29 2008-07-03 Olgado Donald J K Multiple substrate vapor drying systems and methods
US20080160462A1 (en) * 2007-01-03 2008-07-03 Sokudo Co., Ltd. Method and system for bake plate heat transfer control in track lithography tools
US7497026B2 (en) * 2007-01-11 2009-03-03 Sokudo Co., Ltd. Method and system for detection of wafer centering in a track lithography tool
US8580078B2 (en) 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US20080216077A1 (en) * 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US20080224817A1 (en) * 2007-03-15 2008-09-18 Sokudo Co., Ltd. Interlaced rtd sensor for zone/average temperature sensing
US7789576B2 (en) * 2007-03-27 2010-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. PEB embedded exposure apparatus
US9383138B2 (en) * 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
US20080267257A1 (en) * 2007-04-27 2008-10-30 Sokudo Co., Ltd. Method and System for Detecting Substrate Temperature in a Track Lithography Tool
JP4877075B2 (ja) * 2007-05-29 2012-02-15 東京エレクトロン株式会社 塗布、現像装置及び塗布、現像装置の運転方法並びに記憶媒体
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8636458B2 (en) * 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
US20090001071A1 (en) * 2007-06-28 2009-01-01 Sokudo Co., Ltd Method and System for Cooling a Bake Plate in a Track Lithography Tool
JP5006122B2 (ja) * 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP4464993B2 (ja) * 2007-06-29 2010-05-19 東京エレクトロン株式会社 基板の処理システム
JP4979079B2 (ja) * 2007-07-09 2012-07-18 東京エレクトロン株式会社 基板処理装置
US8092599B2 (en) * 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
US7934898B2 (en) * 2007-07-16 2011-05-03 Semitool, Inc. High throughput semiconductor wafer processing
US7567885B2 (en) * 2007-08-23 2009-07-28 Sokudo Co., Ltd. Method and system for determining object height
US7831135B2 (en) * 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
US8041450B2 (en) * 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
JP5151383B2 (ja) * 2007-10-12 2013-02-27 東京エレクトロン株式会社 塗布、現像装置、その方法及び記憶媒体
EP2207909B1 (en) * 2007-10-24 2012-08-29 OC Oerlikon Balzers AG Method for manufacturing workpieces and apparatus
US8060252B2 (en) * 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US9002514B2 (en) * 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
JP5128918B2 (ja) 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
JP5160204B2 (ja) * 2007-11-30 2013-03-13 株式会社Sokudo 基板処理装置
JP5318403B2 (ja) 2007-11-30 2013-10-16 株式会社Sokudo 基板処理装置
KR101489963B1 (ko) 2007-12-13 2015-02-04 한국에이에스엠지니텍 주식회사 박막 증착 장치 및 이를 이용한 증착 방법
US20090162170A1 (en) * 2007-12-19 2009-06-25 Asm Japan K.K. Tandem type semiconductor-processing apparatus
KR100892756B1 (ko) * 2007-12-27 2009-04-15 세메스 주식회사 기판 처리 장치 및 이를 이용한 기판 이송 방법
JP5179170B2 (ja) * 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
JP5001828B2 (ja) * 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
US8198567B2 (en) * 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
JP4547016B2 (ja) * 2008-04-04 2010-09-22 東京エレクトロン株式会社 半導体製造装置、半導体製造方法
US8077098B2 (en) * 2008-05-15 2011-12-13 The United States Of America As Represented By The Secretary Of The Navy Antenna test system
KR101202202B1 (ko) * 2008-06-05 2012-11-16 도쿄엘렉트론가부시키가이샤 액처리 장치 및 액처리 방법
US20090308860A1 (en) * 2008-06-11 2009-12-17 Applied Materials, Inc. Short thermal profile oven useful for screen printing
WO2010004636A1 (ja) * 2008-07-10 2010-01-14 川崎重工業株式会社 ロボット及びその教示方法
WO2010008929A1 (en) * 2008-07-15 2010-01-21 Ulvac, Inc. Work-piece transfer systems and methods
KR101226954B1 (ko) * 2008-08-06 2013-01-28 세메스 주식회사 기판 처리장치 및 이의 기판 이송 방법
JP5036664B2 (ja) * 2008-09-04 2012-09-26 東京エレクトロン株式会社 液処理におけるノズル洗浄、処理液乾燥防止方法及びその装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010123230A (ja) * 2008-11-21 2010-06-03 Sony Disc & Digital Solutions Inc 現像方法、及び現像装置
JP2010129929A (ja) * 2008-11-28 2010-06-10 Canon Inc 基板保持装置、基板保持方法、露光装置およびデバイス製造方法
US8127713B2 (en) * 2008-12-12 2012-03-06 Sokudo Co., Ltd. Multi-channel developer system
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8886354B2 (en) * 2009-01-11 2014-11-11 Applied Materials, Inc. Methods, systems and apparatus for rapid exchange of work material
US8241425B2 (en) * 2009-01-23 2012-08-14 Axcelis Technologies, Inc. Non-condensing thermos chuck
US8289496B2 (en) 2009-01-30 2012-10-16 Semes Co., Ltd. System and method for treating substrate
JP2010177673A (ja) * 2009-01-30 2010-08-12 Semes Co Ltd 基板処理設備及び基板処理方法
JP2010251705A (ja) * 2009-03-24 2010-11-04 Nuflare Technology Inc 成膜装置および成膜方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN101897351A (zh) * 2009-05-31 2010-12-01 北京佩奇科技发展中心 堇青石烤炉
US8847122B2 (en) * 2009-06-08 2014-09-30 Macronix International Co., Ltd. Method and apparatus for transferring substrate
EP2449004A1 (en) 2009-07-02 2012-05-09 E. I. du Pont de Nemours and Company Semiconductor manufacture component
US20110003140A1 (en) 2009-07-02 2011-01-06 E.I. Du Pont De Nemours And Company Oriented composite
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110064545A1 (en) * 2009-09-16 2011-03-17 Applied Materials, Inc. Substrate transfer mechanism with preheating features
JP5445006B2 (ja) * 2009-10-05 2014-03-19 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
TWI408766B (zh) * 2009-11-12 2013-09-11 Hitachi High Tech Corp Vacuum processing device
US8542492B2 (en) * 2009-12-10 2013-09-24 Richard Anthony Dunn, JR. Scalable up and down nesting integrated electronic enclosures with form factors including asteroids and/or dumbbells and/or approximated tessellation(s)/tiling(s) or combinations thereof with thermal management, wiring, sliding fit, manual and/or automated full range vertical to horizontal positioning, access and structural systems for individual modules and intra-and inter-planar stacks, columns, rows, arrays and associated infrastructures
US20110140232A1 (en) * 2009-12-15 2011-06-16 Intersil Americas Inc. Methods of forming a thermal conduction region in a semiconductor structure and structures resulting therefrom
US20120055916A1 (en) * 2010-03-01 2012-03-08 Sokudo Co., Ltd. Method and system for thermal treatment of substrates
JP5318005B2 (ja) 2010-03-10 2013-10-16 株式会社Sokudo 基板処理装置、ストッカー装置および基板収納容器の搬送方法
JP5392190B2 (ja) * 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
JP5620574B2 (ja) 2010-06-07 2014-11-05 カスケード マイクロテックインコーポレイテッドCascade Microtech,Incorporated プローブステーション用高電圧チャック
TWM394568U (en) * 2010-07-23 2010-12-11 Chen Long Technology Corp Ltd Multiplexing wafer baking processing system
US9645162B2 (en) 2010-08-27 2017-05-09 Hewlett-Packard Development Company, L.P. Automated assay fluid dispensing
US9433939B2 (en) 2010-08-27 2016-09-06 Hewlett-Packard Development Company, L.P. Liquid dispensing assembly frame
JP5251941B2 (ja) 2010-09-01 2013-07-31 東京エレクトロン株式会社 液処理装置、液処理方法及び記憶媒体
US9370273B2 (en) 2010-12-02 2016-06-21 Pepsico, Inc. Hot and cold beverage dispenser
US20120225204A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
JP5490741B2 (ja) * 2011-03-02 2014-05-14 東京エレクトロン株式会社 基板搬送装置の位置調整方法、及び基板処理装置
US8956098B2 (en) * 2011-05-02 2015-02-17 Murata Machinery, Ltd. Automated warehouse
US9508582B2 (en) 2011-06-03 2016-11-29 Tel Nexx, Inc. Parallel single substrate marangoni module
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8961693B2 (en) * 2011-06-08 2015-02-24 Shenzhen China Star Optoelectronics Technology Co., Ltd. Component supporting device
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8967935B2 (en) 2011-07-06 2015-03-03 Tel Nexx, Inc. Substrate loader and unloader
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20230084597A (ko) 2011-09-16 2023-06-13 퍼시몬 테크놀로지스 코포레이션 운송 장치 및 이를 포함하는 처리 장치
TWI523134B (zh) * 2011-09-22 2016-02-21 東京威力科創股份有限公司 基板處理系統、基板搬運方法、及電腦記憶媒體
CN202257027U (zh) * 2011-10-12 2012-05-30 深圳市华星光电技术有限公司 光刻胶涂布机承载系统及具有该系统的光刻胶涂布机
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130123966A1 (en) * 2011-11-14 2013-05-16 Shenzhen China Star Optoelectronics Technology Co., Ltd. Spatial three-dimensional inline handling system
CN103137519B (zh) * 2011-11-28 2016-08-17 和舰科技(苏州)有限公司 冷热板装置及其控温方法
CN102645698B (zh) * 2012-01-09 2016-03-30 京东方科技集团股份有限公司 导光板网点、导光板制作方法及背光模组、显示装置
NL2010140A (en) * 2012-02-03 2013-08-06 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
JP5926086B2 (ja) * 2012-03-28 2016-05-25 株式会社Screenホールディングス 基板処理装置および基板処理方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US10199350B2 (en) * 2012-05-25 2019-02-05 Asm Technology Singapore Pte Ltd Apparatus for heating a substrate during die bonding
US9490150B2 (en) 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
US8932945B2 (en) * 2012-07-09 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer alignment system and method
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
SG11201503659QA (en) 2012-11-28 2015-06-29 Acm Res Shanghai Inc Method and apparatus for cleaning semiconductor wafer
JP5835195B2 (ja) * 2012-11-29 2015-12-24 東京エレクトロン株式会社 乾燥処理用の高圧容器の製造方法及び基板処理装置の製造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
KR102548468B1 (ko) * 2013-01-22 2023-06-27 브룩스 오토메이션 인코퍼레이티드 기판 이송기
US9543186B2 (en) * 2013-02-01 2017-01-10 Applied Materials, Inc. Substrate support with controlled sealing gap
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9446467B2 (en) * 2013-03-14 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate rinse module in hybrid bonding platform
KR101273615B1 (ko) 2013-04-30 2013-06-13 마이다스시스템주식회사 포토리소그래피 공정에 사용하는 반도체 제조용 미니랩
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
JP6268425B2 (ja) * 2013-07-16 2018-01-31 シンフォニアテクノロジー株式会社 Efem、ロードポート、ウェーハ搬送方法
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP6234736B2 (ja) * 2013-08-30 2017-11-22 芝浦メカトロニクス株式会社 スピン処理装置
US10424498B2 (en) * 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
US9245767B2 (en) * 2013-09-12 2016-01-26 Applied Materials, Inc. Anneal module for semiconductor wafers
KR20160064177A (ko) 2013-09-26 2016-06-07 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10074547B2 (en) * 2013-12-19 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist nozzle device and photoresist supply system
KR102424958B1 (ko) 2014-01-21 2022-07-25 퍼시몬 테크놀로지스 코포레이션 기판 이송 진공 플랫폼
JP6559706B2 (ja) 2014-01-27 2019-08-14 ビーコ インストルメンツ インコーポレイテッド 化学蒸着システム用の複合半径を有する保持ポケットを有するウェハキャリア
JP5850964B2 (ja) * 2014-02-19 2016-02-03 ファナック株式会社 ケーブルトラックを備えるロボット走行装置、ロボットシステム、および加工システム
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103949376B (zh) * 2014-04-17 2016-08-31 天津市盈硕科技发展有限公司 新能源电动自行车的太阳能电池板的点胶装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6211458B2 (ja) * 2014-04-30 2017-10-11 東京エレクトロン株式会社 基板液処理装置及び基板液処理方法
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI546376B (zh) * 2014-08-25 2016-08-21 柯伊珊 晶圓處理液及使用其移除並平坦晶圓邊緣塗佈薄膜及平坦化光阻表面的設備和方法
JP6296164B2 (ja) * 2014-09-08 2018-03-20 株式会社安川電機 ロボットシステムおよび搬送方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9403275B2 (en) * 2014-10-17 2016-08-02 GM Global Technology Operations LLC Dynamic obstacle avoidance in a robotic system
JP6754771B2 (ja) * 2014-11-18 2020-09-16 パーシモン テクノロジーズ コーポレイションPersimmon Technologies, Corp. エンドエフェクタ位置推定を実行するロボット適応型配置システム
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
WO2016131190A1 (en) * 2015-02-17 2016-08-25 Solarcity Corporation Method and system for improving solar cell manufacturing yield
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102478317B1 (ko) * 2015-04-08 2022-12-16 도쿄엘렉트론가부시키가이샤 기판 처리 시스템
US9889567B2 (en) * 2015-04-24 2018-02-13 Applied Materials, Inc. Wafer swapper
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10256121B2 (en) 2015-07-06 2019-04-09 Tokyo Electron Limited Heated stage with variable thermal emissivity method and apparatus
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
KR20230145534A (ko) * 2015-07-13 2023-10-17 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
JP6942117B2 (ja) * 2015-08-14 2021-09-29 エム キューブド テクノロジーズ, インコーポレイテッド チャック面から汚染を除去するための方法
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
DE102015011177B4 (de) * 2015-08-27 2017-09-14 Süss Microtec Photomask Equipment Gmbh & Co. Kg Vorrichtung zum Aufbringen eines mit UV-Strahlung beaufschlagten flüssigen Mediums auf ein Substrat
US10073444B2 (en) * 2015-09-20 2018-09-11 Macau University Of Science And Technology Petri net-based optimal one-wafer cyclic scheduling of treelike hybrid multi-cluster tools
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR20170048787A (ko) * 2015-10-27 2017-05-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10580681B2 (en) * 2016-07-10 2020-03-03 Yaskawa America Inc. Robotic apparatus and method for transport of a workpiece
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
CN107644832B (zh) * 2016-07-20 2023-09-29 朗姆研究公司 用于系统维护期间储存和组织mca特征和晶片传送销的设计
WO2018022670A1 (en) * 2016-07-26 2018-02-01 M Cubed Technologies, Inc. Methods for masking a pin chuck, and articles made thereby
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10131053B1 (en) * 2016-09-14 2018-11-20 X Development Llc Real time robot collision avoidance
JP6870944B2 (ja) * 2016-09-26 2021-05-12 株式会社Screenホールディングス 基板処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN108107680B (zh) * 2016-11-25 2020-10-30 沈阳芯源微电子设备股份有限公司 堆叠式涂胶显影系统
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10246087B2 (en) * 2016-12-15 2019-04-02 Caterpillar Inc. System and method for collision mitigation during machine articulation
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6770887B2 (ja) * 2016-12-28 2020-10-21 株式会社Screenホールディングス 基板処理装置および基板処理システム
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11201078B2 (en) * 2017-02-14 2021-12-14 Applied Materials, Inc. Substrate position calibration for substrate supports in substrate processing systems
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
DE102017104840A1 (de) 2017-03-08 2018-09-13 SW Automation GmbH Verfahrsystem
CN110546578A (zh) * 2017-03-15 2019-12-06 卡拉汉创新有限公司 使用光刻法和光致抗蚀剂制造制品的设备和方法
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
DE102017115833A1 (de) * 2017-07-13 2019-01-17 SW Automation GmbH Verfahren zum Betreiben einer Werkstück-Bearbeitungs-Anlage und Werkstück-Bearbeitungs-Anlage
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11955362B2 (en) * 2017-09-13 2024-04-09 Applied Materials, Inc. Substrate support for reduced damage substrate backside
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP6967954B2 (ja) * 2017-12-05 2021-11-17 東京エレクトロン株式会社 排気装置、処理装置及び排気方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
KR101938104B1 (ko) * 2018-01-25 2019-01-14 주식회사 기가레인 접합 평탄도가 개선된 연성회로기판
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10589423B2 (en) * 2018-06-18 2020-03-17 Shambhu Nath Roy Robot vision super visor for hybrid homing, positioning and workspace UFO detection enabling industrial robot use for consumer applications
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11081358B2 (en) 2018-07-05 2021-08-03 Applied Materials, Inc. Silicide film nucleation
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11199466B2 (en) * 2018-08-31 2021-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for liquid leak detection
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
GB201819454D0 (en) * 2018-11-29 2019-01-16 Johnson Matthey Plc Apparatus and method for coating substrates with washcoats
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7253955B2 (ja) * 2019-03-28 2023-04-07 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102240925B1 (ko) * 2019-07-17 2021-04-15 세메스 주식회사 기판 처리 설비 및 기판 반송 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
CN112992637A (zh) * 2019-12-02 2021-06-18 Asm Ip私人控股有限公司 衬底支撑板、包括它的衬底处理设备以及衬底处理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR20220129598A (ko) * 2020-01-22 2022-09-23 어플라이드 머티어리얼스, 인코포레이티드 Oled 층 두께 및 도펀트 농도의 인-라인 모니터링
US11856833B2 (en) 2020-01-22 2023-12-26 Applied Materials, Inc. In-line monitoring of OLED layer thickness and dopant concentration
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US20210320027A1 (en) * 2020-04-09 2021-10-14 Applied Materials, Inc. Systems and methods for substrate support temperature control
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
BR112022026630A2 (pt) * 2020-06-26 2023-01-24 Armstrong World Ind Inc Sistema de umidificação de revestimento
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
WO2022031268A1 (en) 2020-08-04 2022-02-10 Applied Materials, Inc. Apparatus for removing photoresist off of photomask
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TWI749802B (zh) * 2020-10-08 2021-12-11 南亞科技股份有限公司 輸送裝置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
IT202000030872A1 (it) 2020-12-15 2022-06-15 Faspar S P A Gruppo di supporto e movimentazione per un dispositivo di presa per utensili
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
KR20220087623A (ko) * 2020-12-17 2022-06-27 삼성전자주식회사 기판 처리 장치
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
KR20220158515A (ko) * 2021-05-24 2022-12-01 에이디알씨 주식회사 스프레이 코터 및 이를 이용하여 제조된 박막 트랜지스터
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TW202339086A (zh) * 2021-11-19 2023-10-01 美商應用材料股份有限公司 在基板處理系統中用於基板支撐件的基板位置校準
US20230197463A1 (en) * 2021-12-21 2023-06-22 Canon Kabushiki Kaisha Apparatus including a substrate chuck, a dispenser, and a planarization head and methods of using the same
JP7326647B1 (ja) 2022-12-07 2023-08-15 株式会社荏原製作所 搬送装置および基板処理装置

Family Cites Families (689)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US491171A (en) * 1893-02-07 Retouching device
US1383093A (en) 1921-02-03 1921-06-28 Clifford L Finch Signal-operator for spreading rails
US1927677A (en) 1927-01-15 1933-09-19 Cleveland Crane Eng Material storage and handling system
BE494127A (zh) 1950-02-01
US3206041A (en) 1959-06-18 1965-09-14 Fmc Corp Article handling apparatus
US3193080A (en) 1963-04-08 1965-07-06 Speaker Sortation Systems Inc Multiple station feeding means
US3402835A (en) 1964-12-14 1968-09-24 Triax Co Control system for a zoned automatic warehouse arrangement
US3351219A (en) 1965-04-09 1967-11-07 Walter A Ruderfer Warehousing order selection system
US3279625A (en) 1965-04-30 1966-10-18 Taylor Wilson Mfg Company Apparatus for handling elongated articles
LU51022A1 (zh) 1966-05-03 1968-02-12
US3610159A (en) 1968-06-06 1971-10-05 Bendix Corp Automatic baggage-handling system
DE1798090C2 (de) 1968-08-21 1981-10-08 Tax, Hans, 8000 München Einrichtung zur Steuerung selbsttätig gelenkter Straßenfahrzeuge einer Container-Verladeanlage
US3750804A (en) 1969-03-07 1973-08-07 Triax Co Load handling mechanism and automatic storage system
US3876085A (en) 1970-03-05 1975-04-08 Thomas John Robert Bright Automated storage systems and apparatus therefor
US6076652A (en) 1971-04-16 2000-06-20 Texas Instruments Incorporated Assembly line system and apparatus controlling transfer of a workpiece
US3782564A (en) * 1971-09-27 1974-01-01 Conco Inc Product picking stacker crane system
US3796327A (en) * 1972-07-14 1974-03-12 R Meyer Manufacturing system
US4027246A (en) 1976-03-26 1977-05-31 International Business Machines Corporation Automated integrated circuit manufacturing system
JP3249765B2 (ja) 1997-05-07 2002-01-21 東京エレクトロン株式会社 基板処理装置
US4197000A (en) 1978-05-23 1980-04-08 Fsi Corporation Positive developing method and apparatus
US4303433A (en) 1978-08-28 1981-12-01 Torobin Leonard B Centrifuge apparatus and method for producing hollow microspheres
US4319689A (en) 1979-09-13 1982-03-16 Frazier Industrial Company Storage rack
US4304433A (en) 1980-03-17 1981-12-08 Bj-Hughes Inc. Pipe gripping head
US4348044A (en) 1980-10-10 1982-09-07 Cincinnati Milacron Inc. Article gripping apparatus
US4410209A (en) 1982-03-11 1983-10-18 Trapani Silvio P Wafer-handling tool
US5125790A (en) 1982-05-24 1992-06-30 Proconics International, Inc. Wafer transfer apparatus
JP2855046B2 (ja) 1993-03-31 1999-02-10 大日本スクリーン製造株式会社 回転式基板処理装置用の基板回転保持装置
US4778532A (en) 1985-06-24 1988-10-18 Cfm Technologies Limited Partnership Process and apparatus for treating wafers with process fluids
US4911761A (en) * 1984-05-21 1990-03-27 Cfm Technologies Research Associates Process and apparatus for drying surfaces
US4984597B1 (en) * 1984-05-21 1999-10-26 Cfmt Inc Apparatus for rinsing and drying surfaces
US4634655A (en) * 1984-06-04 1987-01-06 Dainippon Screen Mfg. Co., Ltd. Method of forming corrosion resistant film on the surface of substrate composed of copper or copper alloy
US4609575A (en) 1984-07-02 1986-09-02 Fsi Corporation Method of apparatus for applying chemicals to substrates in an acid processing system
US4639028A (en) 1984-11-13 1987-01-27 Economic Development Corporation High temperature and acid resistant wafer pick up device
DE3685835T2 (de) 1985-04-17 1993-02-18 Hitachi Ltd Greiferwerkzeug.
JPS61178187U (zh) 1985-04-26 1986-11-06
US4895604A (en) 1985-07-15 1990-01-23 Dainippon Screen Mfg. Co., Ltd. Method and apparatus for rinsing materials or articles
US4682614A (en) 1985-07-26 1987-07-28 Fsi Corporation Wafer processing machine
US4664133A (en) 1985-07-26 1987-05-12 Fsi Corporation Wafer processing machine
JPS6278826A (ja) 1985-10-01 1987-04-11 Dainippon Screen Mfg Co Ltd 表面処理方法およびその装置
JPS6278828A (ja) 1985-10-01 1987-04-11 Dainippon Screen Mfg Co Ltd 表面処理方法およびその装置
JPH0533006Y2 (zh) 1985-10-28 1993-08-23
JPS62129846A (ja) 1985-12-02 1987-06-12 Dainippon Screen Mfg Co Ltd フオトレジストの塗布方法及び塗布装置
JPH0621769B2 (ja) * 1985-12-13 1994-03-23 大日本スクリ−ン製造株式会社 パタ−ン欠陥検出方法およびその装置
JPS62247085A (ja) 1986-04-17 1987-10-28 Dainippon Screen Mfg Co Ltd フオトエッチング法による金属薄板の加工方法
US4724621A (en) 1986-04-17 1988-02-16 Varian Associates, Inc. Wafer processing chuck using slanted clamping pins
JPH0621346B2 (ja) 1986-06-11 1994-03-23 日本鉱業株式会社 高純度金属タンタル製ターゲットの製造方法
FR2600747B1 (fr) * 1986-06-30 1988-12-30 Inst Francais Du Petrole Tube flexible utilisable notamment pour le transport de fluides caloporteurs ou frigorifiques
JPS6314434A (ja) 1986-07-04 1988-01-21 Dainippon Screen Mfg Co Ltd 基板表面処理方法および装置
US4788994A (en) 1986-08-13 1988-12-06 Dainippon Screen Mfg. Co. Wafer holding mechanism
US4728252A (en) 1986-08-22 1988-03-01 Lam Research Corporation Wafer transport mechanism
JPS6377569A (ja) 1986-09-19 1988-04-07 Dainippon Screen Mfg Co Ltd 基板の回転式表面処理装置
US4846623A (en) 1986-10-08 1989-07-11 Dainippon Screen Mfg. Co., Ltd. Wafer transferring device
JPS6398645A (ja) 1986-10-16 1988-04-30 Dainippon Screen Mfg Co Ltd 感光材料の位置決め保持装置
JPS63133545A (ja) 1986-11-25 1988-06-06 Dainippon Screen Mfg Co Ltd 熱処理装置の基板移載搬送装置
US4778332A (en) 1987-02-09 1988-10-18 The Perkin-Elmer Corporation Wafer flip apparatus
DE3876241D1 (de) 1987-03-31 1993-01-07 Siemens Ag Industrieroboter.
DE3712281A1 (de) 1987-04-10 1988-10-27 Heraeus Gmbh W C Verfahren zur herstellung von hochduktilem tantal-halbzeug
JPS63271931A (ja) 1987-04-28 1988-11-09 Tokyo Electron Ltd 現像装置
US4897015A (en) 1987-05-15 1990-01-30 Ade Corporation Rotary to linear motion robot arm
JPS63191348U (zh) 1987-05-27 1988-12-09
JPH0333058Y2 (zh) 1987-06-26 1991-07-12
JPS6411777A (en) 1987-07-07 1989-01-17 Mitsubishi Electric Corp Multi-joint robot
JPH0350509Y2 (zh) 1987-07-13 1991-10-29
JPS6419351A (en) * 1987-07-15 1989-01-23 Dainippon Screen Mfg Method for controlling dry part temperature of photosensitive material processor
US4984572A (en) * 1988-08-18 1991-01-15 Leonard Bloom Hemodynamically responsive system for and method of treating a malfunctioning heart
JPH0617295Y2 (ja) 1987-11-27 1994-05-02 大日本スクリーン製造株式会社 基板受け渡し装置
JPH0623935B2 (ja) 1988-02-09 1994-03-30 大日本スクリーン製造株式会社 再現性を高めた熱処理制御方法
KR970006206B1 (ko) 1988-02-10 1997-04-24 도오교오 에레구토론 가부시끼가이샤 자동 도포 시스템
KR970003907B1 (ko) 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 기판처리 장치 및 기판처리 방법
KR970011644B1 (ko) * 1988-04-08 1997-07-12 고다까 토시오 도포 처리 장치
US4900214A (en) 1988-05-25 1990-02-13 American Telephone And Telegraph Company Method and apparatus for transporting semiconductor wafers
US5002008A (en) 1988-05-27 1991-03-26 Tokyo Electron Limited Coating apparatus and method for applying a liquid to a semiconductor wafer, including selecting a nozzle in a stand-by state
JP2846891B2 (ja) 1988-06-03 1999-01-13 東京エレクトロン株式会社 処理装置
JPH06103687B2 (ja) 1988-08-12 1994-12-14 大日本スクリーン製造株式会社 回転式表面処理方法および回転式表面処理における処理終点検出方法、ならびに回転式表面処理装置
JPH069501Y2 (ja) 1988-09-27 1994-03-09 大日本スクリーン製造株式会社 基板の回転乾燥装置
JPH02137852A (ja) 1988-11-18 1990-05-28 Dainippon Screen Mfg Co Ltd フォトレジストの現像終点検出方法
US5061144A (en) 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
USRE34428E (en) 1988-12-02 1993-11-02 John Fluke Mfg. Co., Inc. Analog-to-digital converter with offset voltage polarity inversion
US5177563A (en) 1989-02-01 1993-01-05 Texas A&M University System Method and apparatus for locating physical objects
US5070813A (en) 1989-02-10 1991-12-10 Tokyo Electron Limited Coating apparatus
JP2507583B2 (ja) * 1989-03-01 1996-06-12 三菱電機株式会社 クリ―ンロボット
CA2010511A1 (en) 1989-03-01 1990-09-01 Roberto L. Ceriani Method of enhancing cancer therapy by administration of unsaturated fatty acids
US5174855A (en) 1989-04-28 1992-12-29 Dainippon Screen Mfg. Co. Ltd. Surface treating apparatus and method using vapor
JPH069195B2 (ja) 1989-05-06 1994-02-02 大日本スクリーン製造株式会社 基板の表面処理方法
US5127362A (en) 1989-05-22 1992-07-07 Tokyo Electron Limited Liquid coating device
JPH0628223Y2 (ja) 1989-06-14 1994-08-03 大日本スクリーン製造株式会社 回転塗布装置
US5151871A (en) 1989-06-16 1992-09-29 Tokyo Electron Limited Method for heat-processing semiconductor device and apparatus for the same
US5254367A (en) 1989-07-06 1993-10-19 Tokyo Electron Limited Coating method and apparatus
US5150452A (en) 1989-07-28 1992-09-22 Megamation Incorporated Method and apparatus for anti-collision and collision protection for multiple robot system
JPH03136232A (ja) 1989-08-31 1991-06-11 Dainippon Screen Mfg Co Ltd 基板の表面処理装置
JPH0734426Y2 (ja) 1989-11-27 1995-08-02 大日本スクリーン製造株式会社 感光材料検出装置
US5197846A (en) 1989-12-22 1993-03-30 Hitachi, Ltd. Six-degree-of-freedom articulated robot mechanism and assembling and working apparatus using same
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
CH680275A5 (zh) 1990-03-05 1992-07-31 Tet Techno Investment Trust
JP2808826B2 (ja) 1990-05-25 1998-10-08 松下電器産業株式会社 基板の移し換え装置
JP2704309B2 (ja) 1990-06-12 1998-01-26 大日本スクリーン製造株式会社 基板処理装置及び基板の熱処理方法
US5234499A (en) 1990-06-26 1993-08-10 Dainippon Screen Mgf. Co., Ltd. Spin coating apparatus
DE69113553T2 (de) 1990-07-23 1996-06-20 Dainippon Screen Mfg Schnittstellenvorrichtung zum Transportieren von Substraten zwischen Verarbeitungsgeräten.
US5436848A (en) 1990-09-03 1995-07-25 Dainippon Screen Mfg. Co., Ltd. Method of and device for transporting semiconductor substrate in semiconductor processing system
JP2843134B2 (ja) 1990-09-07 1999-01-06 東京エレクトロン株式会社 塗布装置および塗布方法
US5416047A (en) 1990-09-07 1995-05-16 Tokyo Electron Limited Method for applying process solution to substrates
JP2892476B2 (ja) 1990-09-14 1999-05-17 東京エレクトロン株式会社 帯状液体ノズル及び液処理装置及び液処理方法
US5201653A (en) 1990-10-02 1993-04-13 Dainippon Screen Mfg. Co., Ltd. Substrate heat-treating apparatus
DK0482479T3 (da) 1990-10-23 1998-12-07 Dainippon Screen Mfg Fremgangsmåde og apparat til at behandle fotofølsomt materiale
JP2769645B2 (ja) 1990-11-27 1998-06-25 大日本スクリーン製造株式会社 感材処理装置
KR100230753B1 (ko) 1991-01-23 1999-11-15 도꾜 일렉트론 큐슈리미티드 액도포 시스템
JPH081922B2 (ja) 1991-01-25 1996-01-10 株式会社東芝 ウェハ−保持装置
JP2835890B2 (ja) * 1991-09-17 1998-12-14 東京エレクトロン株式会社 処理装置
USD341418S (en) 1991-02-22 1993-11-16 Tokyo Electron Limited Supply nozzle for applying liquid resist to a semiconductor wafer
JP3241058B2 (ja) 1991-03-28 2001-12-25 大日本スクリーン製造株式会社 回転式塗布装置及び回転式塗布方法
TW204411B (zh) 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
JPH053151A (ja) 1991-06-18 1993-01-08 Hitachi Ltd レジスト除去装置
US5197856A (en) * 1991-06-24 1993-03-30 General Electric Company Compressor stator
JPH058194A (ja) * 1991-07-02 1993-01-19 Sony Corp 直交型ロボツトの集塵構造
US5580607A (en) 1991-07-26 1996-12-03 Tokyo Electron Limited Coating apparatus and method
USD341428S (en) 1991-07-29 1993-11-16 Zeus Scientific, Inc. Multi channel pipette work station
US5536918A (en) 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
US5513946A (en) * 1991-08-27 1996-05-07 Canon Kabushiki Kaisha Clean robot
JPH0553634A (ja) 1991-08-29 1993-03-05 Matsushita Electric Ind Co Ltd 複腕干渉回避システム
US5312487A (en) 1991-09-20 1994-05-17 Tokyo Electron Kabushiki Kaisha Coating apparatus
JPH0590238A (ja) 1991-09-27 1993-04-09 Dainippon Screen Mfg Co Ltd 回転式基板処理装置の基板回転保持具
JP3209426B2 (ja) 1991-10-04 2001-09-17 シーエフエムティ インコーポレイテッド 複雑な形状を有するマイクロパーツの洗浄
JP2639771B2 (ja) 1991-11-14 1997-08-13 大日本スクリーン製造株式会社 基板の洗浄・乾燥処理方法並びにその処理装置
JP2622046B2 (ja) 1991-11-26 1997-06-18 大日本スクリーン製造株式会社 基板搬送装置
US5275658A (en) 1991-12-13 1994-01-04 Tokyo Electron Limited Liquid supply apparatus
JP2760918B2 (ja) 1992-02-03 1998-06-04 大日本スクリーン製造株式会社 ノッチ付ウエハの位置検出装置
JP2972970B2 (ja) 1992-04-24 1999-11-08 東京エレクトロン株式会社 処理装置
US5788865A (en) 1992-10-14 1998-08-04 Herbert F. Boeckman, II Process for separating a hydrophobic liquid from a liquid contaminated therewith
JP2906006B2 (ja) 1992-10-15 1999-06-14 東京エレクトロン株式会社 処理方法及びその装置
EP0597637B1 (en) * 1992-11-12 2000-08-23 Applied Materials, Inc. System and method for automated positioning of a substrate in a processing chamber
JPH06177012A (ja) * 1992-12-03 1994-06-24 Nikon Corp アライメント装置
KR970011065B1 (ko) 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
JP2870719B2 (ja) 1993-01-29 1999-03-17 東京エレクトロン株式会社 処理装置
JPH06244095A (ja) 1993-02-12 1994-09-02 Dainippon Screen Mfg Co Ltd 基板冷却装置
US5485644A (en) * 1993-03-18 1996-01-23 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus
SG130022A1 (en) 1993-03-25 2007-03-20 Tokyo Electron Ltd Method of forming coating film and apparatus therefor
JP2907676B2 (ja) 1993-03-30 1999-06-21 大日本スクリーン製造株式会社 回転式基板処理装置の処理液供給装置
JP3347814B2 (ja) 1993-05-17 2002-11-20 大日本スクリーン製造株式会社 基板の洗浄・乾燥処理方法並びにその処理装置
TW268905B (zh) 1993-05-20 1996-01-21 Tokyo Electron Co Ltd
JP2890087B2 (ja) 1993-06-10 1999-05-10 東京エレクトロン株式会社 処理装置
DE69402918T2 (de) 1993-07-15 1997-08-14 Applied Materials Inc Substratfangvorrichtung und Keramikblatt für Halbleiterbearbeitungseinrichtung
US5443348A (en) 1993-07-16 1995-08-22 Semiconductor Systems, Inc. Cassette input/output unit for semiconductor processing system
US5766824A (en) 1993-07-16 1998-06-16 Semiconductor Systems, Inc. Method and apparatus for curing photoresist
EP0634783B1 (en) 1993-07-16 1997-08-06 Semiconductor Systems, Inc. Thermal process module for substrate coat/develop system
DE634699T1 (de) * 1993-07-16 1996-02-15 Semiconductor Systems Inc Gruppiertes fotolithografisches System.
US5427820A (en) 1993-07-16 1995-06-27 Semiconductor Systems, Inc. Thermal control line for delivering liquid to a point of use in a photolithography system
JP3142195B2 (ja) 1993-07-20 2001-03-07 大日本スクリーン製造株式会社 薬液供給装置
JP2674474B2 (ja) 1993-07-29 1997-11-12 日本電気株式会社 歪量子井戸半導体レーザの気相成長方法
US5608943A (en) * 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5342068A (en) 1993-08-26 1994-08-30 Texas Instruments Incorporated Laminar flow vacuum chuck
US5418382A (en) 1993-09-23 1995-05-23 Fsi International, Inc. Substrate location and detection apparatus
JPH0727150U (ja) * 1993-10-07 1995-05-19 大日本スクリーン製造株式会社 シリカ系被膜形成用塗布液吐出装置
JPH07115058A (ja) 1993-10-18 1995-05-02 Dainippon Screen Mfg Co Ltd 基板冷却装置
JP2845738B2 (ja) * 1993-10-28 1999-01-13 大日本スクリーン製造株式会社 回転式基板処理装置の基板回転保持具
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
JPH07230173A (ja) 1994-02-17 1995-08-29 Dainippon Screen Mfg Co Ltd 現像方法及びその装置
JPH07245285A (ja) 1994-03-03 1995-09-19 Dainippon Screen Mfg Co Ltd 基板処理装置
US5626913A (en) 1994-03-09 1997-05-06 Tokyo Electron Limited Resist processing method and apparatus
US5634377A (en) 1994-03-09 1997-06-03 Sony Corporation Articulated robot
US5431700A (en) 1994-03-30 1995-07-11 Fsi International, Inc. Vertical multi-process bake/chill apparatus
KR100198477B1 (ko) * 1994-04-08 1999-06-15 이시다 아키라 기판처리장치 및 방법
JP2994553B2 (ja) 1994-04-08 1999-12-27 大日本スクリーン製造株式会社 基板処理装置
JPH07297258A (ja) 1994-04-26 1995-11-10 Tokyo Electron Ltd 板状体の搬送装置
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JP3073886B2 (ja) * 1994-05-30 2000-08-07 大日本スクリーン製造株式会社 基板の熱処理装置
US6124211A (en) 1994-06-14 2000-09-26 Fsi International, Inc. Cleaning method
JP3196917B2 (ja) 1994-06-17 2001-08-06 大日本スクリーン製造株式会社 基板処理装置
US5715173A (en) * 1994-06-27 1998-02-03 Dainippon Screen Mfg. Co., Ltd. Concentration controlling method and a substate treating apparatus utilizing same
US5688324A (en) 1994-07-15 1997-11-18 Dainippon Screen Mfg. Co., Ltd. Apparatus for coating substrate
FR2723005B1 (fr) 1994-08-01 1996-09-13 Kodak Pathe Dispositif de distribution de liquide par gravite et dispositif d'enduction photographique
JP3116297B2 (ja) * 1994-08-03 2000-12-11 東京エレクトロン株式会社 処理方法及び処理装置
US5695817A (en) 1994-08-08 1997-12-09 Tokyo Electron Limited Method of forming a coating film
US5689749A (en) 1994-08-31 1997-11-18 Tokyo Electron Limited Apparatus for developing a resist-coated substrate
JP3033009B2 (ja) 1994-09-09 2000-04-17 東京エレクトロン株式会社 処理装置
TW294821B (zh) 1994-09-09 1997-01-01 Tokyo Electron Co Ltd
JP3099054B2 (ja) * 1994-09-09 2000-10-16 東京エレクトロン株式会社 塗布装置及びその方法
JP3122868B2 (ja) 1994-09-29 2001-01-09 東京エレクトロン株式会社 塗布装置
US5625433A (en) * 1994-09-29 1997-04-29 Tokyo Electron Limited Apparatus and method for developing resist coated on a substrate
US5620560A (en) * 1994-10-05 1997-04-15 Tokyo Electron Limited Method and apparatus for heat-treating substrate
JP3052116B2 (ja) 1994-10-26 2000-06-12 東京エレクトロン株式会社 熱処理装置
KR100370728B1 (ko) 1994-10-27 2003-04-07 실리콘 밸리 그룹, 인크. 기판을균일하게코팅하는방법및장치
US5835684A (en) 1994-11-09 1998-11-10 Amada Company, Ltd. Method for planning/controlling robot motion
US6158446A (en) 1994-11-14 2000-12-12 Fsi International Ultra-low particle semiconductor cleaner
JPH08146613A (ja) 1994-11-18 1996-06-07 Dainippon Screen Mfg Co Ltd 長尺素材の処理装置
US5638687A (en) 1994-11-21 1997-06-17 Dainippon Screen Mfg. Co., Ltd. Substrate cooling method and apparatus
US6033475A (en) * 1994-12-27 2000-03-07 Tokyo Electron Limited Resist processing apparatus
US5849602A (en) 1995-01-13 1998-12-15 Tokyo Electron Limited Resist processing process
US5543022A (en) 1995-01-17 1996-08-06 Hmt Technology Corporation Disc-handling apparatus
JP3276553B2 (ja) * 1995-01-19 2002-04-22 東京エレクトロン株式会社 処理装置及び処理方法
US5618348A (en) * 1995-01-27 1997-04-08 Dainippon Screen Mfg. Co., Ltd. Air elimination system
JPH08222616A (ja) 1995-02-13 1996-08-30 Dainippon Screen Mfg Co Ltd 基板処理装置
JP3350278B2 (ja) 1995-03-06 2002-11-25 大日本スクリーン製造株式会社 基板処理装置
TW306011B (zh) * 1995-04-19 1997-05-21 Tokyo Electron Co Ltd
JPH08293534A (ja) * 1995-04-20 1996-11-05 Tokyo Electron Ltd 被処理体の搬送装置
JP3401121B2 (ja) 1995-04-21 2003-04-28 大日本スクリーン製造株式会社 基板への回転式塗布装置
US5853483A (en) 1995-05-02 1998-12-29 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating method and apparatus
JP3028462B2 (ja) 1995-05-12 2000-04-04 東京エレクトロン株式会社 熱処理装置
JPH08316190A (ja) 1995-05-18 1996-11-29 Dainippon Screen Mfg Co Ltd 基板処理装置
TW406216B (en) 1995-05-24 2000-09-21 Tokyo Electron Ltd Apparatus for coating resist on substrate
TW284907B (en) 1995-06-07 1996-09-01 Cauldron Lp Removal of material by polarized irradiation and back side application for radiation
US5843527A (en) 1995-06-15 1998-12-01 Dainippon Screen Mfg. Co., Ltd. Coating solution applying method and apparatus
KR100226326B1 (ko) 1995-06-19 1999-10-15 이시다 아키라 기판용 자외선 조사장치 및 기판처리시스템 및 기판을 자외선으로 조사하는 방법
US5741113A (en) 1995-07-10 1998-04-21 Kensington Laboratories, Inc. Continuously rotatable multiple link robot arm mechanism
US5765444A (en) 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US6098484A (en) 1995-07-10 2000-08-08 Kensington Laboratories, Inc. High torque, low hysteresis, multiple link robot arm mechanism
JPH0945611A (ja) 1995-07-27 1997-02-14 Dainippon Screen Mfg Co Ltd 回転式基板塗布装置
JP3069945B2 (ja) 1995-07-28 2000-07-24 東京エレクトロン株式会社 処理装置
JP2676334B2 (ja) 1995-07-31 1997-11-12 住友重機械工業株式会社 ロボットアーム
JP3518948B2 (ja) 1995-08-24 2004-04-12 大日本スクリーン製造株式会社 基板の回転処理装置
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5788868A (en) 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
US5700046A (en) 1995-09-13 1997-12-23 Silicon Valley Group, Inc. Wafer gripper
US5733024A (en) * 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
JPH0990643A (ja) 1995-09-27 1997-04-04 Dainippon Screen Mfg Co Ltd 基板処理装置
JP3552178B2 (ja) 1995-09-27 2004-08-11 大日本スクリーン製造株式会社 基板収納カセット、インターフェイス機構および基板処理装置
JPH09107013A (ja) * 1995-10-09 1997-04-22 Dainippon Screen Mfg Co Ltd 基板受け渡し装置
FR2739562B1 (fr) 1995-10-09 1998-04-24 Moreau Defarges Alain Dispositif d'injection par jet sans aiguille, comportant une cartouche surmoulee
JPH09106934A (ja) 1995-10-12 1997-04-22 Dainippon Screen Mfg Co Ltd 基板現像装置
JP3227642B2 (ja) 1995-10-13 2001-11-12 東京エレクトロン株式会社 塗布装置
US5766524A (en) 1995-10-16 1998-06-16 Governors Of The University Of Alberta Reclamation of leftover concrete
US5788773A (en) 1995-10-25 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating method and apparatus
US5898588A (en) * 1995-10-27 1999-04-27 Dainippon Screen Mfg. Co. Method and apparatus for controlling substrate processing apparatus
KR100315007B1 (ko) 1995-11-22 2002-02-28 이시다 아키라 카세트내의 기판 검출 및 반송장치와 그 방법
JP3380663B2 (ja) 1995-11-27 2003-02-24 大日本スクリーン製造株式会社 基板処理装置
JP3892493B2 (ja) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5762684A (en) 1995-11-30 1998-06-09 Dainippon Screen Mfg. Co., Ltd. Treating liquid supplying method and apparatus
TW334359B (en) * 1995-12-04 1998-06-21 Dai Nippon Scolin Seizo Kk Apparatus and method for treating substrates
JPH09162118A (ja) 1995-12-11 1997-06-20 Dainippon Screen Mfg Co Ltd 基板用処理液の脱気装置
TW318258B (zh) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
JP3462325B2 (ja) * 1995-12-21 2003-11-05 大日本スクリーン製造株式会社 基板処理装置
US5665220A (en) 1995-12-26 1997-09-09 General Motors Corporation Electrolytic magnesium production process
US5704493A (en) * 1995-12-27 1998-01-06 Dainippon Screen Mfg. Co., Ltd. Substrate holder
JP3575717B2 (ja) 1995-12-28 2004-10-13 大日本スクリーン製造株式会社 基板処理装置
JPH09213772A (ja) 1996-01-30 1997-08-15 Dainippon Screen Mfg Co Ltd 基板保持装置
TW324834B (en) 1996-02-01 1998-01-11 Tokyo Electron Co Ltd Method for forming membrane
US6075606A (en) * 1996-02-16 2000-06-13 Doan; Trung T. Endpoint detector and method for measuring a change in wafer thickness in chemical-mechanical polishing of semiconductor wafers and other microelectronic substrates
JP3377909B2 (ja) 1996-02-28 2003-02-17 大日本スクリーン製造株式会社 基板処理装置
US6102164A (en) 1996-02-28 2000-08-15 Applied Materials, Inc. Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
JP3462657B2 (ja) 1996-02-29 2003-11-05 大日本スクリーン製造株式会社 薄膜形成装置および薄膜形成方法
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
JP3476305B2 (ja) 1996-03-18 2003-12-10 大日本スクリーン製造株式会社 回転式基板処理装置
JP3218425B2 (ja) * 1996-03-25 2001-10-15 東京エレクトロン株式会社 処理方法及び処理装置
DE19613620C2 (de) 1996-04-04 1998-04-16 Steag Micro Tech Gmbh Verfahren und Vorrichtung zum Trocknen von Substraten
TW344097B (en) 1996-04-09 1998-11-01 Tokyo Electron Co Ltd Photoresist treating device of substrate and photoresist treating method
KR100274127B1 (ko) 1996-04-23 2001-01-15 이시다 아키라 기판 온도 제어방법, 기판 열처리장치 및 기판 지지장치
DE19654903C2 (de) 1996-04-24 1998-09-24 Steag Micro Tech Gmbh Vorrichtung zum Behandeln von Substraten in einem Fluid-Behälter
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US5844476A (en) 1996-04-25 1998-12-01 Chen; Shou-Shan Automobile deceleration indicating device
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
JP3280883B2 (ja) 1996-05-08 2002-05-13 東京エレクトロン株式会社 現像処理方法及び現像処理装置
US6248398B1 (en) 1996-05-22 2001-06-19 Applied Materials, Inc. Coater having a controllable pressurized process chamber for semiconductor processing
JP3516195B2 (ja) 1996-05-28 2004-04-05 東京エレクトロン株式会社 塗布膜形成方法及びその装置
US5788453A (en) 1996-05-30 1998-08-04 Applied Materials, Inc. Piezoelectric wafer gripping system for robot blades
US6181336B1 (en) * 1996-05-31 2001-01-30 Silicon Graphics, Inc. Database-independent, scalable, object-oriented architecture and API for managing digital multimedia assets
JP3597639B2 (ja) * 1996-06-05 2004-12-08 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
JP3343033B2 (ja) * 1996-06-28 2002-11-11 大日本スクリーン製造株式会社 基板処理装置
JPH1022358A (ja) 1996-06-28 1998-01-23 Dainippon Screen Mfg Co Ltd 基板処理装置
US6672820B1 (en) 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US6322119B1 (en) 1999-07-09 2001-11-27 Semitool, Inc. Robots for microelectronic workpiece handling
US6318951B1 (en) 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US5802856A (en) * 1996-07-31 1998-09-08 Stanford University Multizone bake/chill thermal cycling module
EP0828189B1 (en) * 1996-08-14 2004-11-10 Mitsubishi Paper Mills, Ltd. Apparatus for processing photosensitive material
EP0824224B1 (en) 1996-08-14 2002-03-06 Mitsubishi Paper Mills, Ltd. Apparatus for processing photosensitive material
JP3227595B2 (ja) 1996-08-20 2001-11-12 東京エレクトロン株式会社 現像処理方法及び現像処理装置
JP3442934B2 (ja) * 1996-08-20 2003-09-02 大日本スクリーン製造株式会社 基板処理装置
JP3245812B2 (ja) 1996-08-30 2002-01-15 東京エレクトロン株式会社 液処理方法及びその装置
JP3254574B2 (ja) 1996-08-30 2002-02-12 東京エレクトロン株式会社 塗布膜形成方法及びその装置
JP3278714B2 (ja) * 1996-08-30 2002-04-30 東京エレクトロン株式会社 塗布膜形成装置
JP3245769B2 (ja) 1996-08-30 2002-01-15 東京エレクトロン株式会社 液処理方法及びその装置
JPH1074818A (ja) 1996-09-02 1998-03-17 Tokyo Electron Ltd 処理装置
US5938847A (en) 1996-09-03 1999-08-17 Tokyo Electron Limited Method and apparatus for coating a film on an object being processed
JP3779393B2 (ja) 1996-09-06 2006-05-24 東京エレクトロン株式会社 処理システム
TW535216B (en) * 1996-09-13 2003-06-01 Tokyo Electron Ltd Photoresist processing method and photoresist processing system
JP3202929B2 (ja) 1996-09-13 2001-08-27 東京エレクトロン株式会社 処理システム
JP3947761B2 (ja) 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
US6053058A (en) * 1996-09-30 2000-04-25 Dainippon Screen Mfg. Co., Ltd. Atmosphere concentration monitoring for substrate processing apparatus and life determination for atmosphere processing unit of substrate processing apparatus
KR100277522B1 (ko) 1996-10-08 2001-01-15 이시다 아키라 기판처리장치
CH697146A5 (de) 1996-10-09 2008-05-15 Tec Sem Ag Greifvorrichtung zur Handhabung von Wafern.
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
JP3420900B2 (ja) 1996-10-21 2003-06-30 大日本スクリーン製造株式会社 塗布液塗布方法
JP3540524B2 (ja) 1996-10-28 2004-07-07 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US5756444A (en) 1996-11-01 1998-05-26 The Procter & Gamble Company Granular laundry detergent compositions which are substantially free of phosphate and aluminosilicate builders
JP3471543B2 (ja) 1996-11-07 2003-12-02 大日本スクリーン製造株式会社 回転式基板乾燥装置
JPH10144757A (ja) 1996-11-08 1998-05-29 Dainippon Screen Mfg Co Ltd 基板処理システム
JPH10144599A (ja) 1996-11-11 1998-05-29 Tokyo Electron Ltd 回転処理装置およびその洗浄方法
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5838121A (en) 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
JP3315608B2 (ja) 1996-11-20 2002-08-19 大日本スクリーン製造株式会社 塗布液塗布方法
US6258167B1 (en) 1996-11-27 2001-07-10 Tokyo Electron Limited Process liquid film forming apparatus
JP3245813B2 (ja) 1996-11-27 2002-01-15 東京エレクトロン株式会社 塗布膜形成装置
NL1004657C2 (nl) * 1996-11-29 1998-06-03 Food Processing Systems Inrichting voor het overdragen van in hoofdzaak ronde, kwetsbare voorwerpen, zoals bijvoorbeeld eieren.
JP3566475B2 (ja) 1996-12-03 2004-09-15 東京エレクトロン株式会社 処理装置
TW382749B (en) * 1996-12-24 2000-02-21 Tokyo Electron Ltd Liquid supplying device
JP3333121B2 (ja) 1996-12-25 2002-10-07 東京エレクトロン株式会社 塗布装置
KR100283442B1 (ko) 1996-12-26 2001-04-02 이시다 아키라 현상장치및현상방법
JP3490582B2 (ja) * 1997-01-28 2004-01-26 大日本スクリーン製造株式会社 基板処理装置
JP3429964B2 (ja) * 1996-12-26 2003-07-28 大日本スクリーン製造株式会社 基板処理装置
US6099643A (en) 1996-12-26 2000-08-08 Dainippon Screen Mfg. Co., Ltd. Apparatus for processing a substrate providing an efficient arrangement and atmospheric isolation of chemical treatment section
TW357389B (en) 1996-12-27 1999-05-01 Tokyo Electric Ltd Apparatus and method for supplying process solution to surface of substrate to be processed
TW464944B (en) 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
JPH10209102A (ja) 1997-01-17 1998-08-07 Dainippon Screen Mfg Co Ltd 基板処理装置
JP3579228B2 (ja) 1997-01-24 2004-10-20 大日本スクリーン製造株式会社 基板処理装置
JP3578577B2 (ja) 1997-01-28 2004-10-20 大日本スクリーン製造株式会社 処理液供給方法及びその装置
TW389949B (en) * 1997-01-30 2000-05-11 Tokyo Electron Ltd Method and apparatus for coating and development of the photo-resist solution
US6217655B1 (en) * 1997-01-31 2001-04-17 Applied Materials, Inc. Stand-off pad for supporting a wafer on a substrate support chuck
JP3559133B2 (ja) * 1997-01-31 2004-08-25 大日本スクリーン製造株式会社 熱処理装置および基板処理装置
JP3410342B2 (ja) 1997-01-31 2003-05-26 東京エレクトロン株式会社 塗布装置
JP3280880B2 (ja) 1997-02-07 2002-05-13 東京エレクトロン株式会社 脱気機構およびそれを用いた処理装置
JP3346716B2 (ja) 1997-02-14 2002-11-18 東京エレクトロン株式会社 基板冷却方法および基板冷却装置
US5955858A (en) 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
JP3321540B2 (ja) 1997-02-14 2002-09-03 東京エレクトロン株式会社 脱気機構およびそれを用いた処理装置、ならびに脱気方法
EP0863538B1 (en) 1997-03-03 2003-05-21 Tokyo Electron Limited Coating apparatus and coating method
TW383414B (en) 1997-03-05 2000-03-01 Tokyo Electron Ltd Photoresist agent processing method and photoresist agent processing system and evaluation method and processing apparatus for photoresist agent film
WO2004075285A1 (ja) 1997-03-07 2004-09-02 Takuya Shibao 基板処理装置
JP3442253B2 (ja) 1997-03-13 2003-09-02 東京エレクトロン株式会社 基板処理装置
JP3526184B2 (ja) 1997-03-17 2004-05-10 大日本スクリーン製造株式会社 基板処理装置
JP3693783B2 (ja) * 1997-03-21 2005-09-07 大日本スクリーン製造株式会社 基板処理装置
JP3548373B2 (ja) 1997-03-24 2004-07-28 大日本スクリーン製造株式会社 基板処理装置
US5944476A (en) 1997-03-26 1999-08-31 Kensington Laboratories, Inc. Unitary specimen prealigner and continuously rotatable multiple link robot arm mechanism
TW432520B (en) 1997-03-31 2001-05-01 Tokyo Electron Ltd Photoresist coating method and apparatus
US5980187A (en) 1997-04-16 1999-11-09 Kla-Tencor Corporation Mechanism for transporting semiconductor-process masks
JP3549141B2 (ja) * 1997-04-21 2004-08-04 大日本スクリーン製造株式会社 基板処理装置および基板保持装置
JP3715073B2 (ja) 1997-04-22 2005-11-09 大日本スクリーン製造株式会社 熱処理装置
TW419716B (en) 1997-04-28 2001-01-21 Tokyo Electron Ltd Processing apparatus
JP3612196B2 (ja) 1997-04-28 2005-01-19 大日本スクリーン製造株式会社 現像装置、現像方法および基板処理装置
US6207231B1 (en) * 1997-05-07 2001-03-27 Tokyo Electron Limited Coating film forming method and coating apparatus
KR100265757B1 (ko) 1997-05-09 2000-09-15 윤종용 반도체 제조장비의 웨이퍼 오탑재 방지센서
JP3917237B2 (ja) 1997-05-20 2007-05-23 東京エレクトロン株式会社 レジスト膜形成方法
JPH10335220A (ja) * 1997-05-30 1998-12-18 Tokyo Electron Ltd 処理装置
US6168667B1 (en) 1997-05-30 2001-01-02 Tokyo Electron Limited Resist-processing apparatus
JP3737604B2 (ja) 1997-06-03 2006-01-18 大日本スクリーン製造株式会社 基板処理装置
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
JPH113851A (ja) 1997-06-11 1999-01-06 Tokyo Electron Ltd 液処理装置及び液処理方法
US6073187A (en) 1997-06-20 2000-06-06 Compaq Computer Corporation Controls and indicators available to a user for a secondary operational mode of a portable computer which is open or closed state of the computer case
SG71809A1 (en) 1997-07-03 2000-04-18 Tokyo Electron Ltd Solution treatment apparatus
JPH1126550A (ja) * 1997-07-04 1999-01-29 Tokyo Electron Ltd 基板搬送装置およびそれを用いた基板処理装置
TW384505B (en) * 1997-07-04 2000-03-11 Tokyo Electron Ltd Coating device
SG135904A1 (en) 1997-07-04 2007-10-29 Tokyo Electron Ltd Process solution supplying apparatus
US5988971A (en) 1997-07-09 1999-11-23 Ade Optical Systems Corporation Wafer transfer robot
TW524873B (en) * 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US5869311A (en) 1997-07-17 1999-02-09 Incyte Pharmaceuticals, Inc. Mitochondrial processing peptidase subunit
JPH1133471A (ja) 1997-07-23 1999-02-09 Tokyo Electron Ltd 塗布装置
US6076979A (en) 1997-07-25 2000-06-20 Dainippon Screen Mfg. Co., Ltd. Method of and apparatus for supplying developing solution onto substrate
JP3265238B2 (ja) * 1997-08-01 2002-03-11 東京エレクトロン株式会社 液膜形成装置及びその方法
US6159291A (en) 1997-08-11 2000-12-12 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus
TW442336B (en) 1997-08-19 2001-06-23 Tokyo Electron Ltd Film forming method
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
TW459266B (en) 1997-08-27 2001-10-11 Tokyo Electron Ltd Substrate processing method
JPH11129184A (ja) 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd 基板処理装置および基板搬入搬出装置
US6213853B1 (en) * 1997-09-10 2001-04-10 Speedfam-Ipec Corporation Integral machine for polishing, cleaning, rinsing and drying workpieces
US6354311B1 (en) * 1997-09-10 2002-03-12 Dainippon Screen Mfg. Co., Ltd. Substrate drying apparatus and substrate processing apparatus
JP3788855B2 (ja) 1997-09-11 2006-06-21 大日本スクリーン製造株式会社 基板処理ユニットおよびそれを用いた基板処理装置
US6155773A (en) 1997-09-22 2000-12-05 Applied Materials, Inc. Substrate clamping apparatus
US6571147B1 (en) 1997-09-22 2003-05-27 Dainippon Screen Mfg. Co., Ltd. System for and method of managing jobs
US6000227A (en) * 1997-09-24 1999-12-14 Applied Materials, Inc. Wafer cooling in a transfer chamber of a vacuum processing system
US5962070A (en) 1997-09-25 1999-10-05 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
JP3988805B2 (ja) 1997-10-02 2007-10-10 大日本スクリーン製造株式会社 基板搬送方法及びその装置
US6174371B1 (en) * 1997-10-06 2001-01-16 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
JP3641115B2 (ja) 1997-10-08 2005-04-20 大日本スクリーン製造株式会社 基板処理装置
US6260562B1 (en) 1997-10-20 2001-07-17 Dainippon Screen Mfg. Co., Ltd. Substrate cleaning apparatus and method
US6199568B1 (en) * 1997-10-20 2001-03-13 Dainippon Screen Mfg. Co., Ltd. Treating tank, and substrate treating apparatus having the treating tank
US6165273A (en) 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6491491B1 (en) 1997-10-30 2002-12-10 Sankyo Seiki Mfg. Co., Ltd. Articulated robot
TW418452B (en) 1997-10-31 2001-01-11 Tokyo Electron Ltd Coating process
TW392226B (en) * 1997-11-05 2000-06-01 Tokyo Electron Ltd Apparatus for processing substrate
FI980342A0 (fi) * 1997-11-07 1998-02-13 Borealis As Polymerroer och -roerkopplingar
US6352083B1 (en) * 1997-11-20 2002-03-05 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and substrate treating method
US6116848A (en) 1997-11-26 2000-09-12 Brooks Automation, Inc. Apparatus and method for high-speed transfer and centering of wafer substrates
EP1049640A4 (en) 1997-11-28 2008-03-12 Mattson Tech Inc SYSTEMS AND METHODS FOR HANDLING WORKPIECES FOR VACUUM PROCESSING AT HIGH FLOW RATE AND LOW CONTAMINATION
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
JP3320648B2 (ja) 1997-12-04 2002-09-03 東京エレクトロン株式会社 レジスト膜の形成方法及びレジスト膜の形成装置
US6177133B1 (en) * 1997-12-10 2001-01-23 Silicon Valley Group, Inc. Method and apparatus for adaptive process control of critical dimensions during spin coating process
US6248168B1 (en) 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
JP4178534B2 (ja) 1997-12-24 2008-11-12 株式会社安川電機 基板搬送用ロボット
US6190063B1 (en) * 1998-01-09 2001-02-20 Tokyo Electron Ltd. Developing method and apparatus
US6222161B1 (en) * 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
JP3329720B2 (ja) 1998-01-19 2002-09-30 東京エレクトロン株式会社 塗布装置
JP3323797B2 (ja) 1998-01-21 2002-09-09 東京エレクトロン株式会社 疎水化処理装置
US5923515A (en) 1998-01-27 1999-07-13 Lucent Technologies Inc. Battery protection fuse assembly
JP3246891B2 (ja) 1998-02-03 2002-01-15 東京エレクトロン株式会社 熱処理装置
JP3356676B2 (ja) 1998-02-04 2002-12-16 東京エレクトロン株式会社 現像処理方法及びその装置
US6291800B1 (en) * 1998-02-20 2001-09-18 Tokyo Electron Limited Heat treatment apparatus and substrate processing system
US6018616A (en) * 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
US6132165A (en) 1998-02-23 2000-10-17 Applied Materials, Inc. Single drive, dual plane robot
US6062240A (en) 1998-03-06 2000-05-16 Tokyo Electron Limited Treatment device
JPH11260707A (ja) 1998-03-09 1999-09-24 Tokyo Electron Ltd 現像処理方法及び現像処理装置
US6359264B1 (en) * 1998-03-11 2002-03-19 Applied Materials, Inc. Thermal cycling module
US6368776B1 (en) * 1998-03-18 2002-04-09 Tokyo Electron Limited Treatment apparatus and treatment method
JPH11274024A (ja) * 1998-03-18 1999-10-08 Tokyo Electron Ltd 処理液供給装置及び処理液供給方法
US6261365B1 (en) 1998-03-20 2001-07-17 Tokyo Electron Limited Heat treatment method, heat treatment apparatus and treatment system
US6221787B1 (en) * 1998-04-20 2001-04-24 Tokyo Electron Limited Apparatus and method of forming resist film
US6108932A (en) 1998-05-05 2000-08-29 Steag Microtech Gmbh Method and apparatus for thermocapillary drying
US6336204B1 (en) * 1998-05-07 2002-01-01 Applied Materials, Inc. Method and apparatus for handling deadlocks in multiple chamber cluster tools
TW410415B (en) 1998-05-15 2000-11-01 Tokyo Electron Ltd Substrate carrying equipment and substrate processing equipment
JP3381776B2 (ja) * 1998-05-19 2003-03-04 東京エレクトロン株式会社 処理装置および処理方法
US6635113B2 (en) 1998-05-19 2003-10-21 Tokyo Electron Limited Coating apparatus and coating method
US6266125B1 (en) 1998-05-25 2001-07-24 Tokyo Electron Limited Resist processing method and apparatus
JPH11340119A (ja) 1998-05-26 1999-12-10 Tokyo Electron Ltd 現像処理方法及び現像処理装置
US5989763A (en) * 1998-05-28 1999-11-23 National Semicondustor Corporation Chemical gas analysis during processing of chemically amplified photoresist systems
US6109677A (en) 1998-05-28 2000-08-29 Sez North America, Inc. Apparatus for handling and transporting plate like substrates
JP3364155B2 (ja) 1998-06-05 2003-01-08 東京エレクトロン株式会社 塗布膜形成装置及びその方法
US6183147B1 (en) * 1998-06-15 2001-02-06 Tokyo Electron Limited Process solution supply system, substrate processing apparatus employing the system, and intermediate storage mechanism employed in the system
US6142722A (en) 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6416583B1 (en) 1998-06-19 2002-07-09 Tokyo Electron Limited Film forming apparatus and film forming method
JP3445937B2 (ja) 1998-06-24 2003-09-16 東京エレクトロン株式会社 多段スピン型基板処理システム
JP3333135B2 (ja) 1998-06-25 2002-10-07 東京エレクトロン株式会社 熱処理装置及び熱処理方法
JP3461725B2 (ja) * 1998-06-26 2003-10-27 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
US6318957B1 (en) 1998-07-10 2001-11-20 Asm America, Inc. Method for handling of wafers with minimal contact
US6167322A (en) 1998-07-10 2000-12-26 Holbrooks; Orville Ray Intelligent wafer handling system and method
US6450755B1 (en) 1998-07-10 2002-09-17 Equipe Technologies Dual arm substrate handling robot with a batch loader
US6246030B1 (en) 1998-07-22 2001-06-12 Tokyo Electron Limited Heat processing method and apparatus
TW428216B (en) 1998-07-29 2001-04-01 Tokyo Electron Ltd Substrate process method and substrate process apparatus
US6361600B1 (en) * 1998-08-04 2002-03-26 Tokyo Electron Limited Film forming apparatus and film forming method
JP2000056474A (ja) 1998-08-05 2000-02-25 Tokyo Electron Ltd 基板処理方法
KR100535714B1 (ko) 1998-08-10 2005-12-09 동경 엘렉트론 주식회사 기판처리장치
KR100537040B1 (ko) 1998-08-19 2005-12-16 동경 엘렉트론 주식회사 현상장치
JP3574570B2 (ja) * 1998-08-20 2004-10-06 東京応化工業株式会社 処理ユニット
JP3453069B2 (ja) 1998-08-20 2003-10-06 東京エレクトロン株式会社 基板温調装置
WO2000014772A1 (de) 1998-09-02 2000-03-16 Tec-Sem Ag Vorrichtung und verfahren zum handhaben von einzelnen wafern
US6402844B1 (en) 1998-09-08 2002-06-11 Tokyo Electron Limited Substrate processing method and substrate processing unit
US6185370B1 (en) * 1998-09-09 2001-02-06 Tokyo Electron Limited Heating apparatus for heating an object to be processed
US6254936B1 (en) 1998-09-14 2001-07-03 Silicon Valley Group, Inc. Environment exchange control for material on a wafer surface
US6780461B2 (en) 1998-09-14 2004-08-24 Asml Holding N.V. Environment exchange control for material on a wafer surface
US6203969B1 (en) * 1998-09-14 2001-03-20 Tokyo Electron Limited Resist processing apparatus which measures temperature of heat-sensing substrate and measuring method therein
US6689215B2 (en) * 1998-09-17 2004-02-10 Asml Holdings, N.V. Method and apparatus for mitigating cross-contamination between liquid dispensing jets in close proximity to a surface
JP3442669B2 (ja) 1998-10-20 2003-09-02 東京エレクトロン株式会社 基板処理装置
US6235641B1 (en) 1998-10-30 2001-05-22 Fsi International Inc. Method and system to control the concentration of dissolved gas in a liquid
JP2000141270A (ja) 1998-11-06 2000-05-23 Matsushita Electric Ind Co Ltd 多関節型ロボット
JP3458063B2 (ja) 1998-11-20 2003-10-20 東京エレクトロン株式会社 塗布装置及び塗布方法
US6302960B1 (en) 1998-11-23 2001-10-16 Applied Materials, Inc. Photoresist coater
US6348113B1 (en) 1998-11-25 2002-02-19 Cabot Corporation High purity tantalum, products containing the same, and methods of making the same
US6329692B1 (en) 1998-11-30 2001-12-11 Motorola Inc. Circuit and method for reducing parasitic bipolar effects during eletrostatic discharges
JP3800282B2 (ja) 1998-11-30 2006-07-26 大日本スクリーン製造株式会社 塗布液塗布方法
US6453214B1 (en) 1998-12-02 2002-09-17 Newport Corporation Method of using a specimen sensing end effector to align a robot arm with a specimen stored on or in a container
US6256555B1 (en) 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
US6454332B1 (en) 1998-12-04 2002-09-24 Applied Materials, Inc. Apparatus and methods for handling a substrate
JP3041613B1 (ja) 1998-12-21 2000-05-15 セイコーインスツルメンツ株式会社 ラインプリンタ装置
US6533531B1 (en) 1998-12-29 2003-03-18 Asml Us, Inc. Device for handling wafers in microelectronic manufacturing
US6616394B1 (en) 1998-12-30 2003-09-09 Silicon Valley Group Apparatus for processing wafers
US6768930B2 (en) 1998-12-31 2004-07-27 Asml Holding N.V. Method and apparatus for resolving conflicts in a substrate processing system
US6418356B1 (en) 1998-12-31 2002-07-09 Silicon Valley Group, Inc. Method and apparatus for resolving conflicts in a substrate processing system
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
US6087632A (en) 1999-01-11 2000-07-11 Tokyo Electron Limited Heat processing device with hot plate and associated reflector
US6228171B1 (en) 1999-01-29 2001-05-08 Tokyo Electron Ltd. Heat processing apparatus
JP3455458B2 (ja) 1999-02-01 2003-10-14 東京エレクトロン株式会社 塗布、現像装置及び塗布現像処理における基板再生システム
US6410194B1 (en) 1999-02-04 2002-06-25 Tokyo Electron Limited Resist film forming method and resist coating apparatus
US6427096B1 (en) 1999-02-12 2002-07-30 Honeywell International Inc. Processing tool interface apparatus for use in manufacturing environment
KR100593627B1 (ko) 1999-02-16 2006-06-28 동경 엘렉트론 주식회사 처리장치, 처리시스템, 판별방법 및 검출방법
US6169274B1 (en) * 1999-03-01 2001-01-02 Tokyo Electron Ltd. Heat treatment apparatus and method, detecting temperatures at plural positions each different in depth in holding plate, and estimating temperature of surface of plate corresponding to detected result
JP2000260858A (ja) 1999-03-12 2000-09-22 Sumitomo Heavy Ind Ltd ウェハ搬送用ハンド、及び、これを用いたウェハ搬送方法
US6398429B1 (en) 1999-03-15 2002-06-04 Tokyo Electron Limited Developing method and developing apparatus
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP3393082B2 (ja) 1999-04-02 2003-04-07 東京エレクトロン株式会社 現像方法および現像装置
KR100585448B1 (ko) * 1999-04-08 2006-06-02 동경 엘렉트론 주식회사 막 형성방법 및 막 형성장치
KR100604024B1 (ko) 1999-04-19 2006-07-24 동경 엘렉트론 주식회사 도포막 형성방법 및 도포장치
US6575177B1 (en) 1999-04-27 2003-06-10 Applied Materials Inc. Semiconductor substrate cleaning system
JP4021118B2 (ja) 1999-04-28 2007-12-12 東京エレクトロン株式会社 基板処理装置
JP3587723B2 (ja) 1999-04-30 2004-11-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
US6499777B1 (en) * 1999-05-11 2002-12-31 Matrix Integrated Systems, Inc. End-effector with integrated cooling mechanism
US6191394B1 (en) * 1999-05-19 2001-02-20 Tokyo Electron Ltd. Heat treating apparatus
JP3616275B2 (ja) 1999-05-31 2005-02-02 東京エレクトロン株式会社 液処理装置、それに用いる処理液供給ノズル、および液処理方法
TW505822B (en) 1999-06-09 2002-10-11 Tokyo Electron Ltd Developing method and developing apparatus
US6656281B1 (en) 1999-06-09 2003-12-02 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6464789B1 (en) 1999-06-11 2002-10-15 Tokyo Electron Limited Substrate processing apparatus
US6293713B1 (en) 1999-07-02 2001-09-25 Tokyo Electron Limited Substrate processing apparatus
JP3957445B2 (ja) * 1999-07-02 2007-08-15 東京エレクトロン株式会社 基板処理装置および基板処理方法
US6238109B1 (en) 1999-07-02 2001-05-29 Tokyo Electron Limited Processing solution supply apparatus
US6166509A (en) 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6251195B1 (en) 1999-07-12 2001-06-26 Fsi International, Inc. Method for transferring a microelectronic device to and from a processing chamber
KR100704749B1 (ko) 1999-07-19 2007-04-09 동경 엘렉트론 주식회사 기판처리장치 및 기판처리방법
KR100629746B1 (ko) 1999-07-28 2006-09-28 동경 엘렉트론 주식회사 현상장치 및 그 방법
KR100597287B1 (ko) 1999-07-28 2006-07-04 동경 엘렉트론 주식회사 기판처리장치 및 그 방법
US6716478B2 (en) 1999-08-04 2004-04-06 Tokyo Electron Limited Coating film forming apparatus and coating film forming method
US6383948B1 (en) 1999-12-20 2002-05-07 Tokyo Electron Limited Coating film forming apparatus and coating film forming method
US6450805B1 (en) 1999-08-11 2002-09-17 Tokyo Electron Limited Hot plate cooling method and heat processing apparatus
US6474986B2 (en) 1999-08-11 2002-11-05 Tokyo Electron Limited Hot plate cooling method and heat processing apparatus
US6312171B1 (en) 1999-08-12 2001-11-06 Tokyo Electron Limited Developing apparatus and method thereof
TW480584B (en) 1999-08-17 2002-03-21 Tokyo Electron Ltd Solution processing apparatus and method
US6313441B1 (en) 1999-08-18 2001-11-06 Applied Materials, Inc. Control system and method for providing variable ramp rate operation of a thermal cycling system
JP2001060542A (ja) 1999-08-19 2001-03-06 Tokyo Electron Ltd レジストパタ−ンの形成方法
US6196532B1 (en) 1999-08-27 2001-03-06 Applied Materials, Inc. 3 point vacuum chuck with non-resilient support members
US6536964B1 (en) 1999-09-03 2003-03-25 Tokyo Electron Limited Substrate processing system and substrate processing method
KR100700764B1 (ko) 1999-09-03 2007-03-27 동경 엘렉트론 주식회사 기판처리장치 및 기판처리방법
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
TW476983B (en) 1999-09-30 2002-02-21 Tokyo Electron Ltd Heat treatment unit and heat treatment method
US6514343B1 (en) * 1999-10-01 2003-02-04 Tokyo Electron Limited Coating apparatus
JP3635217B2 (ja) * 1999-10-05 2005-04-06 東京エレクトロン株式会社 液処理装置及びその方法
US6402400B1 (en) 1999-10-06 2002-06-11 Tokyo Electron Limited Substrate processing apparatus
JP2001110793A (ja) 1999-10-12 2001-04-20 Dainippon Screen Mfg Co Ltd 熱処理装置および基板処理装置
US6527860B1 (en) 1999-10-19 2003-03-04 Tokyo Electron Limited Substrate processing apparatus
US6402401B1 (en) 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6364547B1 (en) * 1999-10-25 2002-04-02 Tokyo Electron Limited Solution processing apparatus
US6431769B1 (en) 1999-10-25 2002-08-13 Tokyo Electron Limited Substrate processing system and substrate processing method
TW471015B (en) 1999-10-26 2002-01-01 Tokyo Electron Ltd Solution processing apparatus
US6278089B1 (en) 1999-11-02 2001-08-21 Applied Materials, Inc. Heater for use in substrate processing
KR100616293B1 (ko) * 1999-11-11 2006-08-28 동경 엘렉트론 주식회사 기판처리장치 및 기판처리방법
KR100583134B1 (ko) 1999-11-16 2006-05-24 동경 엘렉트론 주식회사 기판의 처리장치 및 처리방법
JP4090648B2 (ja) 1999-11-18 2008-05-28 東京エレクトロン株式会社 膜形成方法及び膜形成装置
KR100728244B1 (ko) 1999-11-18 2007-06-13 동경 엘렉트론 주식회사 실리레이션처리장치 및 방법
TW518639B (en) * 1999-11-18 2003-01-21 Tokyo Electron Ltd Heat treatment device, cooling treatment device and cooling treatment method
US6597179B2 (en) 1999-11-19 2003-07-22 Gelcore, Llc Method and device for remote monitoring of LED lamps
JP3306398B2 (ja) 1999-11-29 2002-07-24 大日本スクリーン製造株式会社 基板搬送装置および搬送教示システム
US6402508B2 (en) 1999-12-09 2002-06-11 Tokyo Electron Limited Heat and cooling treatment apparatus and substrate processing system
US6695922B2 (en) * 1999-12-15 2004-02-24 Tokyo Electron Limited Film forming unit
US6514344B2 (en) * 1999-12-16 2003-02-04 Tokyo Electron Limited Film forming unit
US6676757B2 (en) * 1999-12-17 2004-01-13 Tokyo Electron Limited Coating film forming apparatus and coating unit
KR20010062439A (ko) 1999-12-17 2001-07-07 히가시 데쓰로 도포막 형성장치
US6616760B2 (en) 1999-12-17 2003-09-09 Tokyo Electron Limited Film forming unit
WO2001048800A1 (fr) 1999-12-24 2001-07-05 Ebara Corporation Procede et appareil de traitement de tranche de semi-conducteur
US20020152955A1 (en) 1999-12-30 2002-10-24 Yezdi Dordi Apparatus and method for depositing an electroless solution
US6384894B2 (en) 2000-01-21 2002-05-07 Tokyo Electron Limited Developing method and developing unit
GB0002669D0 (en) 2000-02-04 2000-03-29 Applied Materials Inc A method and apparatus for implanting semiconductor wafer substrates
JP3437812B2 (ja) 2000-02-07 2003-08-18 タツモ株式会社 基板搬送装置
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
JP2001230191A (ja) 2000-02-18 2001-08-24 Tokyo Electron Ltd 処理液供給方法及び処理液供給装置
JP3842512B2 (ja) 2000-02-24 2006-11-08 オムロン株式会社 流体加熱装置
KR100462237B1 (ko) 2000-02-28 2004-12-17 주성엔지니어링(주) 기판 냉각장치를 가지는 반도체 소자 제조용 클러스터 장비
US6401353B2 (en) 2000-03-08 2002-06-11 Dainippon Screen Mfg. Co., Ltd. Substrate dryer
JP4054159B2 (ja) 2000-03-08 2008-02-27 東京エレクトロン株式会社 基板処理方法及びその装置
JP2001257144A (ja) 2000-03-09 2001-09-21 Tokyo Electron Ltd 基板の加熱処理装置
US6634806B2 (en) 2000-03-13 2003-10-21 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US6327793B1 (en) 2000-03-20 2001-12-11 Silicon Valley Group Method for two dimensional adaptive process control of critical dimensions during spin coating process
JP3706294B2 (ja) 2000-03-27 2005-10-12 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
SG105487A1 (en) 2000-03-30 2004-08-27 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
AU2001247687A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Dry silylation plasma etch process
JP2001291655A (ja) 2000-04-07 2001-10-19 Tokyo Electron Ltd 疎水化処理の評価方法、レジストパターンの形成方法及びレジストパターン形成システム
JP3792986B2 (ja) 2000-04-11 2006-07-05 東京エレクトロン株式会社 膜形成方法及び膜形成装置
JP3519669B2 (ja) 2000-04-25 2004-04-19 東京エレクトロン株式会社 現像処理方法及び現像処理装置
TW593376B (en) 2000-04-27 2004-06-21 Shinetsu Chemical Co Polymer, chemically amplified resist composition and patterning process
US6827142B2 (en) * 2000-04-27 2004-12-07 Innoventor Engineering, Inc. Process and apparatus for achieving precision temperature control
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6572205B2 (en) 2000-05-09 2003-06-03 Sony Computer Entertainment Inc. Electronic device cabinet and electronic device
JP3545676B2 (ja) 2000-05-10 2004-07-21 東京エレクトロン株式会社 現像処理装置及び現像処理方法
JP3648129B2 (ja) * 2000-05-10 2005-05-18 東京エレクトロン株式会社 塗布現像処理方法及び塗布現像処理システム
US6579370B2 (en) 2000-05-16 2003-06-17 Tokyo Electron Limited Apparatus and method for coating treatment
JP2001345241A (ja) * 2000-05-31 2001-12-14 Tokyo Electron Ltd 基板処理システム及び基板処理方法
JP2001351848A (ja) * 2000-06-07 2001-12-21 Tokyo Electron Ltd 基板処理システム及び基板処理方法
US6706321B2 (en) 2000-06-13 2004-03-16 Tokyo Electron Limited Developing treatment method and developing treatment unit
JP2001358197A (ja) 2000-06-16 2001-12-26 Tokyo Electron Ltd 基板処理装置
JP3585217B2 (ja) 2000-07-03 2004-11-04 東京エレクトロン株式会社 基板処理装置
JP3581303B2 (ja) 2000-07-31 2004-10-27 東京エレクトロン株式会社 判別方法及び処理装置
JP2002134402A (ja) * 2000-08-15 2002-05-10 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US6460805B1 (en) 2000-08-24 2002-10-08 Msa Aircraft Products, Ltd. Double convex aircraft window
CN100398272C (zh) 2000-09-01 2008-07-02 阿赛斯特技术公司 具有缓冲性能的边缘夹持校准器
JP4004248B2 (ja) 2000-09-01 2007-11-07 大日本スクリーン製造株式会社 基板処理装置および基板検査方法
US6379056B1 (en) * 2000-09-12 2002-04-30 Tokyo Electron Limited Substrate processing apparatus
JP3837016B2 (ja) 2000-09-28 2006-10-25 大日本スクリーン製造株式会社 基板処理方法および基板処理装置
DE10049845A1 (de) * 2000-10-09 2002-04-11 Philips Corp Intellectual Pty Mehrband-Mikrowellenantenne
JP3587776B2 (ja) 2000-10-10 2004-11-10 東京エレクトロン株式会社 塗布装置及び塗布方法
GB2384309B8 (en) 2000-10-13 2016-03-02 Irm Llc High throughput processing system and method of using
US6616762B2 (en) 2000-10-13 2003-09-09 Tokyo Electron Limited Treatment solution supply apparatus and treatment solution supply method
US6805769B2 (en) 2000-10-13 2004-10-19 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
TW563196B (en) 2000-10-30 2003-11-21 Dainippon Screen Mfg Substrate processing apparatus
JP3616748B2 (ja) 2000-11-07 2005-02-02 東京エレクトロン株式会社 現像処理方法,現像処理装置及び処理装置
US6691216B2 (en) 2000-11-08 2004-02-10 Texas Instruments Incorporated Shared program memory for use in multicore DSP devices
US6669782B1 (en) 2000-11-15 2003-12-30 Randhir P. S. Thakur Method and apparatus to control the formation of layers useful in integrated circuits
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
EP1341221A1 (en) * 2000-11-22 2003-09-03 Nikon Corporation Aligner, aligning method and method for fabricating device
JP3741604B2 (ja) 2000-11-27 2006-02-01 東京エレクトロン株式会社 熱処理装置および熱処理方法
US6692219B2 (en) 2000-11-29 2004-02-17 Tokyo Electron Limited Reduced edge contact wafer handling system and method of retrofitting and using same
JP3943828B2 (ja) 2000-12-08 2007-07-11 東京エレクトロン株式会社 塗布、現像装置及びパターン形成方法
JP2002184831A (ja) 2000-12-11 2002-06-28 Hirata Corp Foupオープナ
JP2002184671A (ja) 2000-12-14 2002-06-28 Tokyo Electron Ltd 基板処理システム及び基板処理方法
JP3702175B2 (ja) 2000-12-19 2005-10-05 東京エレクトロン株式会社 熱処理装置及びその方法、並びにパターン形成方法
US6744020B2 (en) 2001-01-04 2004-06-01 Tokyo Electron Limited Heat processing apparatus
JP2002208554A (ja) 2001-01-10 2002-07-26 Tokyo Electron Ltd 基板処理装置
JP3950299B2 (ja) 2001-01-15 2007-07-25 東京エレクトロン株式会社 基板処理装置及びその方法
US6790286B2 (en) 2001-01-18 2004-09-14 Dainippon Screen Mfg. Co. Ltd. Substrate processing apparatus
JP4124400B2 (ja) * 2001-01-19 2008-07-23 大日本スクリーン製造株式会社 基板処理装置
US20020098072A1 (en) 2001-01-19 2002-07-25 Applied Materials, Inc. Dual bladed robot apparatus and associated method
GB2371634B (en) * 2001-01-30 2005-05-25 Aqualisa Products Ltd Water mixing valve apparatus
US7069984B2 (en) * 2001-02-08 2006-07-04 Oriol Inc. Multi-channel temperature control system for semiconductor processing facilities
KR100848772B1 (ko) 2001-02-22 2008-07-28 도쿄엘렉트론가부시키가이샤 기판처리장치
US6692165B2 (en) * 2001-03-01 2004-02-17 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6860945B2 (en) 2001-03-22 2005-03-01 Tokyo Electron Limited Substrate coating unit and substrate coating method
US6669808B2 (en) 2001-03-22 2003-12-30 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus and substrate processing method
JP3713447B2 (ja) * 2001-04-05 2005-11-09 東京エレクトロン株式会社 現像処理装置
US6623235B2 (en) 2001-04-11 2003-09-23 Pri Automation, Inc. Robot arm edge gripping device for handling substrates using two four-bar linkages
US6884294B2 (en) 2001-04-16 2005-04-26 Tokyo Electron Limited Coating film forming method and apparatus
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP4025030B2 (ja) 2001-04-17 2007-12-19 東京エレクトロン株式会社 基板の処理装置及び搬送アーム
JP4435443B2 (ja) 2001-04-17 2010-03-17 大日本スクリーン製造株式会社 基板搬送装置および基板搬送方法
JP3967618B2 (ja) 2001-04-17 2007-08-29 東京エレクトロン株式会社 基板の処理方法及び基板の処理システム
US6558053B2 (en) 2001-04-19 2003-05-06 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6823880B2 (en) 2001-04-25 2004-11-30 Kabushiki Kaisha Kobe Seiko Sho High pressure processing apparatus and high pressure processing method
KR100488376B1 (ko) 2001-04-27 2005-05-11 가부시키가이샤 고베 세이코쇼 기판 처리 방법 및 기판 처리 설비
US6641963B1 (en) * 2001-04-30 2003-11-04 Advanced Micro Devices, Inc System and method for in situ control of post exposure bake time and temperature
JP3934362B2 (ja) 2001-05-14 2007-06-20 大日本スクリーン製造株式会社 素子支持装置
JP4006191B2 (ja) 2001-05-14 2007-11-14 大日本スクリーン製造株式会社 光ファイバーの連結装置
US6889105B2 (en) 2001-05-16 2005-05-03 Dainippon Screen Mfg. Co., Ltd. Scheduling method and program for a substrate processing apparatus
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
JP3825277B2 (ja) 2001-05-25 2006-09-27 東京エレクトロン株式会社 加熱処理装置
US6598805B2 (en) 2001-05-30 2003-07-29 Dainippon Screen Mfg. Co., Ltd Substrate cleaning apparatus
KR100857972B1 (ko) 2001-06-07 2008-09-10 도쿄엘렉트론가부시키가이샤 도포막 형성방법 및 도포막 형성장치
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
AT500378B1 (de) 2001-06-13 2006-12-15 Tgw Transportgeraete Gmbh Regalbediengerät
US6683006B2 (en) * 2001-06-25 2004-01-27 Tokyo Electron Limited Film forming method and film forming apparatus
KR100452317B1 (ko) * 2001-07-11 2004-10-12 삼성전자주식회사 포토리소그래피 공정시스템 및 그 방법
EP1406751A2 (en) * 2001-07-13 2004-04-14 FSI International Robotic system control
US20030010449A1 (en) 2001-07-16 2003-01-16 Gramarossa Daniel J. Automatic wafer processing and plating system
US6689782B2 (en) * 2001-07-16 2004-02-10 Essential Therapeutics, Inc. Fungal efflux pump inhibitors
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US6458607B1 (en) * 2001-07-23 2002-10-01 Advanced Micro Devices, Inc. Using UV/VIS spectrophotometry to regulate developer solution during a development process
JP2003037107A (ja) * 2001-07-25 2003-02-07 Tokyo Electron Ltd 処理装置及び処理方法
TWI232509B (en) 2001-07-25 2005-05-11 Tokyo Electron Ltd Processing apparatus and processing method
JP3725051B2 (ja) * 2001-07-27 2005-12-07 大日本スクリーン製造株式会社 基板処理装置
JP3880343B2 (ja) 2001-08-01 2007-02-14 株式会社ルネサステクノロジ ロードポート、基板処理装置および雰囲気置換方法
US6841342B2 (en) * 2001-08-08 2005-01-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6878636B2 (en) * 2001-08-27 2005-04-12 Applied Materials, Inc. Method for enhancing substrate processing
JP3869306B2 (ja) 2001-08-28 2007-01-17 東京エレクトロン株式会社 現像処理方法および現像液塗布装置
US7217076B2 (en) 2001-08-31 2007-05-15 Asyst Technologies, Inc. Semiconductor material handling system
US6878216B2 (en) 2001-09-03 2005-04-12 Tokyo Electron Limited Substrate processing method and substrate processing system
JP3844670B2 (ja) 2001-09-14 2006-11-15 東京エレクトロン株式会社 塗布膜形成装置
US6808566B2 (en) 2001-09-19 2004-10-26 Tokyo Electron Limited Reduced-pressure drying unit and coating film forming method
JP4073186B2 (ja) 2001-09-20 2008-04-09 大日本スクリーン製造株式会社 基板処理装置のスケジュール作成方法及びそのプログラム
US6878401B2 (en) 2001-09-27 2005-04-12 Tokyo Electron Limited Substrate processing method
JP4018892B2 (ja) 2001-10-03 2007-12-05 大日本スクリーン製造株式会社 基板処理装置
US6598806B2 (en) 2001-10-09 2003-07-29 Gary G. B. Phipps Windshield washer apparatus for marine craft
US6819402B2 (en) * 2001-10-18 2004-11-16 Asml Holding N.V. System and method for laser beam expansion
US6790283B2 (en) 2001-10-18 2004-09-14 Tokyo Electron Limited Coating apparatus
JP3718647B2 (ja) 2001-10-19 2005-11-24 東京エレクトロン株式会社 現像装置及び現像方法
US6664122B1 (en) 2001-10-19 2003-12-16 Novellus Systems, Inc. Electroless copper deposition method for preparing copper seed layers
JP4018958B2 (ja) 2001-10-30 2007-12-05 大日本スクリーン製造株式会社 基板処理装置
US6752442B2 (en) 2001-11-09 2004-06-22 Speedfam-Ipec Corporation Workpiece handling end-effector and a method for processing workpieces using a workpiece handling end-effector
JP3751246B2 (ja) 2001-11-13 2006-03-01 大日本スクリーン製造株式会社 薄膜形成装置および搬送方法
US6682113B2 (en) 2001-11-16 2004-01-27 Applied Materials, Inc. Wafer clamping mechanism
US6811613B2 (en) 2001-11-26 2004-11-02 Tokyo Electron Limited Coating film forming apparatus
JP2003170384A (ja) 2001-12-04 2003-06-17 Rorze Corp 平板状物の搬送用スカラ型ロボットおよび平板状物の処理システム
JP3910054B2 (ja) * 2001-12-10 2007-04-25 東京エレクトロン株式会社 基板処理装置
JP2003257849A (ja) 2001-12-26 2003-09-12 Dainippon Screen Mfg Co Ltd 基板の現像処理装置
JP4025069B2 (ja) 2001-12-28 2007-12-19 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US6678581B2 (en) 2002-01-14 2004-01-13 Taiwan Semiconductor Manufacturing Co. Ltd Method of calibrating a wafer edge gripping end effector
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
US6758612B1 (en) * 2002-01-16 2004-07-06 Advanced Micro Devices, Inc. System and method for developer endpoint detection by reflectometry or scatterometry
US6451621B1 (en) * 2002-01-16 2002-09-17 Advanced Micro Devices, Inc. Using scatterometry to measure resist thickness and control implant
JP2003215002A (ja) 2002-01-17 2003-07-30 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
JP3992601B2 (ja) 2002-01-31 2007-10-17 大日本スクリーン製造株式会社 薬液処理装置
JP4195227B2 (ja) 2002-02-22 2008-12-10 東京エレクトロン株式会社 被処理体の導入ポート構造
US6991710B2 (en) 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
JP3637898B2 (ja) 2002-03-05 2005-04-13 セイコーエプソン株式会社 表示駆動回路及びこれを備えた表示パネル
JP3811082B2 (ja) 2002-03-08 2006-08-16 大日本スクリーン製造株式会社 基板処理装置及び基板処理方法
US6796054B2 (en) 2002-03-12 2004-09-28 Tokyo Electron Limited Low-pressure dryer and low-pressure drying method
US6848625B2 (en) * 2002-03-19 2005-02-01 Tokyo Electron Limited Process liquid supply mechanism and process liquid supply method
WO2003080479A2 (en) 2002-03-20 2003-10-02 Fsi International, Inc. Systems and methods incorporating an end effector with a rotatable and/or pivotable body and/or an optical sensor having a light path that extends along a length of the end effector
JP3688264B2 (ja) 2002-03-20 2005-08-24 東京エレクトロン株式会社 熱処理方法及び熱処理装置
JP3939178B2 (ja) * 2002-03-25 2007-07-04 大日本スクリーン製造株式会社 高圧乾燥装置、高圧乾燥方法および基板処理装置
JP4274736B2 (ja) 2002-03-28 2009-06-10 大日本スクリーン製造株式会社 基板処理装置
US6752544B2 (en) 2002-03-28 2004-06-22 Dainippon Screen Mfg. Co., Ltd. Developing apparatus and developing method
US6869234B2 (en) 2002-03-28 2005-03-22 Dainippon Screen Mfg. Co., Ltd. Developing apparatus and developing method
JP4048074B2 (ja) * 2002-04-12 2008-02-13 東京エレクトロン株式会社 処理装置
JP4342147B2 (ja) 2002-05-01 2009-10-14 大日本スクリーン製造株式会社 基板処理装置
CN1276306C (zh) * 2002-05-14 2006-09-20 株式会社东芝 加工方法及半导体器件的制造方法
JP2003347186A (ja) 2002-05-23 2003-12-05 Dainippon Screen Mfg Co Ltd 基板処理装置
US6678582B2 (en) * 2002-05-30 2004-01-13 Kuka Roboter Gmbh Method and control device for avoiding collisions between cooperating robots
US6645880B1 (en) 2002-06-10 2003-11-11 Dainippon Screen Mfg. Co., Ltd. Treating solution applying method
US6832863B2 (en) 2002-06-11 2004-12-21 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and method
US6807455B2 (en) 2002-06-26 2004-10-19 Dainippon Screen Mfg. Co. Ltd. System for and method of processing substrate
SE523109C2 (sv) 2002-07-15 2004-03-30 Stock Of Sweden Ab Anordning för kraftöverföring hos en arbetsmaskin
JP2004055697A (ja) 2002-07-17 2004-02-19 Ace:Kk 基板の移載、搬送装置及び移載方法
KR20040013965A (ko) 2002-08-09 2004-02-14 삼성전자주식회사 멀티 챔버형의 공정설비
JP4233285B2 (ja) * 2002-08-23 2009-03-04 大日本スクリーン製造株式会社 基板処理装置
TWI262165B (en) 2002-10-16 2006-09-21 Sez Ag Device and method for transporting wafer-shaped articles
US6885467B2 (en) 2002-10-28 2005-04-26 Tevet Process Control Technologies Ltd. Method and apparatus for thickness decomposition of complicated layer structures
US6946511B2 (en) 2002-10-29 2005-09-20 Dupont Dow Elastomers, Llc Plasma resistant elastomer parts
US7306696B2 (en) * 2002-11-01 2007-12-11 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
KR100486690B1 (ko) * 2002-11-29 2005-05-03 삼성전자주식회사 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
US6770424B2 (en) 2002-12-16 2004-08-03 Asml Holding N.V. Wafer track apparatus and methods for dispensing fluids with rotatable dispense arms
JP4053906B2 (ja) 2003-03-14 2008-02-27 株式会社豊電子工業 作業ロボット用ハンド装置
US7654596B2 (en) 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
EP1495702A1 (fr) 2003-07-10 2005-01-12 Nestec S.A. Dispositif pour l'extraction d'une capsule
US7100954B2 (en) 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7226269B2 (en) 2004-01-15 2007-06-05 Applied Materials, Inc. Substrate edge grip apparatus
KR100996102B1 (ko) 2004-10-27 2010-11-22 삼성전자주식회사 고출력 증폭기의 바이어스 적응 바이어스 제어 장치 및 방법
US20060182535A1 (en) 2004-12-22 2006-08-17 Mike Rice Cartesian robot design
US7396412B2 (en) * 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US7651306B2 (en) 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
JP4356936B2 (ja) 2005-01-21 2009-11-04 東京エレクトロン株式会社 塗布、現像装置及びその方法
US20060236941A1 (en) 2005-04-20 2006-10-26 Applied Materials, Inc. Passive wafer support for particle free wafer acceleration
US7374391B2 (en) 2005-12-22 2008-05-20 Applied Materials, Inc. Substrate gripper for a substrate handling robot
US20060241813A1 (en) 2005-04-22 2006-10-26 Applied Materials, Inc. Optimized cluster tool transfer process and collision avoidance design
WO2007112454A2 (en) * 2006-03-28 2007-10-04 Stratusys Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI489580B (zh) * 2009-07-10 2015-06-21 Macronix Int Co Ltd 基底傳送方法及其裝置
CN104451868A (zh) * 2013-09-19 2015-03-25 信越化学工业株式会社 单晶金刚石的制造方法
CN106298589A (zh) * 2015-06-26 2017-01-04 泰拉半导体株式会社 基板处理系统
CN106298589B (zh) * 2015-06-26 2021-11-05 圆益Ips股份有限公司 基板处理系统
CN105278259A (zh) * 2015-07-27 2016-01-27 江苏影速光电技术有限公司 单机双台面多工位自动pcb板曝光设备及其曝光方法
TWI595963B (zh) * 2015-08-18 2017-08-21 Machvision Inc Automatic feeding device
CN114514094A (zh) * 2019-08-19 2022-05-17 应用材料公司 处理系统对准器站的校准
CN117681214A (zh) * 2024-02-04 2024-03-12 泓浒(苏州)半导体科技有限公司 基于晶圆传送的多机械臂碰撞预警方法及系统
CN117681214B (zh) * 2024-02-04 2024-04-12 泓浒(苏州)半导体科技有限公司 基于晶圆传送的多机械臂碰撞预警方法及系统

Also Published As

Publication number Publication date
JP2013093597A (ja) 2013-05-16
US20120180983A1 (en) 2012-07-19
JP2012169654A (ja) 2012-09-06
US7925377B2 (en) 2011-04-12
US20060134536A1 (en) 2006-06-22
US20060158240A1 (en) 2006-07-20
US20060132730A1 (en) 2006-06-22
US20060134340A1 (en) 2006-06-22
US20090064929A1 (en) 2009-03-12
US8181596B2 (en) 2012-05-22
US20060130750A1 (en) 2006-06-22
US7255747B2 (en) 2007-08-14
JP2012069957A (ja) 2012-04-05
US7371022B2 (en) 2008-05-13
US20060278165A1 (en) 2006-12-14
US7396412B2 (en) 2008-07-08
US20080296316A1 (en) 2008-12-04
US20090064928A1 (en) 2009-03-12
US8146530B2 (en) 2012-04-03
US8550031B2 (en) 2013-10-08
US20060134330A1 (en) 2006-06-22
US20060286300A1 (en) 2006-12-21
US20060130767A1 (en) 2006-06-22
US20080223293A1 (en) 2008-09-18
US7743728B2 (en) 2010-06-29
CN101443131A (zh) 2009-05-27
US8215262B2 (en) 2012-07-10
CN101443131B (zh) 2011-08-17
US20120320361A1 (en) 2012-12-20
US7694647B2 (en) 2010-04-13
US20090067956A1 (en) 2009-03-12
US7357842B2 (en) 2008-04-15
US20080199282A1 (en) 2008-08-21
US20060130747A1 (en) 2006-06-22

Similar Documents

Publication Publication Date Title
CN101142656A (zh) 用于处理衬底的组合工具架构
US7699021B2 (en) Cluster tool substrate throughput optimization
TWI323831B (en) Substrate processing apparatus
KR100888301B1 (ko) 기판처리시스템 및 기판처리장치
US20070172234A1 (en) Apparatus for and method of processing substrate
US20070190437A1 (en) Substrate processing apparatus
KR101006685B1 (ko) 기판을 처리하기 위한 클러스터 툴 아키텍쳐
US9494877B2 (en) Substrate processing apparatus
JP6285275B2 (ja) 基板処理装置および基板処理方法
JP2007317987A (ja) 基板処理装置および基板処理方法
US20060098977A1 (en) Substrate processing apparatus and substrate processing method
US10201824B2 (en) Substrate processing apparatus and substrate processing method
US20070147832A1 (en) Method of processing substrate, substrate processing system and substrate processing apparatus
TWI306995B (en) Lithographic apparatus and device manufacturing method
US20080196658A1 (en) Substrate processing apparatus including a substrate reversing region

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication