CN101044615A - 具有垂直u形晶体管的dram单元 - Google Patents

具有垂直u形晶体管的dram单元 Download PDF

Info

Publication number
CN101044615A
CN101044615A CNA2005800356369A CN200580035636A CN101044615A CN 101044615 A CN101044615 A CN 101044615A CN A2005800356369 A CNA2005800356369 A CN A2005800356369A CN 200580035636 A CN200580035636 A CN 200580035636A CN 101044615 A CN101044615 A CN 101044615A
Authority
CN
China
Prior art keywords
groove
pillar
shaped
semiconductor substrate
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800356369A
Other languages
English (en)
Inventor
维尔纳·云林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101044615A publication Critical patent/CN101044615A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/06Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials
    • H01L21/10Preliminary treatment of the selenium or tellurium, its application to the foundation plate, or the subsequent treatment of the combination
    • H01L21/108Provision of discrete insulating layers, i.e. non-genetic barrier layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Abstract

本发明包含具有U形晶体管(2406)的半导体结构(100),所述U形晶体管(2406)通过蚀刻半导体衬底(110)形成。在一个实施方案中,将所述晶体管的源极/漏极区设置在由衬底(110)中相交的沟槽限定的支柱对的顶部上。一根支柱通过在环绕的沟槽上方延伸的槽脊(2407)连接到所述对中的另一根支柱上。所述槽脊和所述支柱的下部限定在U形结构的相反侧的U形沟道,面向在这些相反侧的沟槽中的栅极结构,形成两面环绕的晶体管。任选地,还使用栅极电极材料填充在一对支柱之间的空间以限定三面环绕的栅极晶体管。每一对源极/漏极区中的一个延伸至位线(2914),并且另一个延伸至存储器存储器件,如电容器(2910)。本发明还包括形成半导体结构的方法。

Description

具有垂直U形晶体管的DRAM单元
相关申请的引用
本申请涉及2004年5月26日提交的美国申请号10/855,429,其题目为Semiconductor Structures、Memory Device Constructions,and Methods forForming Semiconductor Structures。
                        发明背景
技术领域
本发明涉及半导体结构、存储器件构造和用于形成半导体结构的方法。
背景技术
集成电路设计者常常需要通过减小单个元件的尺寸,并且通过减小相邻元件之间的间距,增加在集成电路中的元件的集成度或密度。普通的集成电路元件的一个实例是在许多器件如存储电路、处理器等中都能找到的晶体管。典型的集成电路晶体管包含在衬底的表面形成的源极、漏极和栅极。
较普通的半导体器件是存储器件,其中动态随机存取存储器(DRAM)单元是示例性存储器件。DRAM单元包含晶体管和存储器存储结构,其中典型的存储器存储结构是电容器。半导体器件的现代应用可以使用大量的DRAM单位单元。
因此,需要研究用于制造半导体器件的新方法。还需要研制可以在半导体应用如DRAM结构中使用的新的半导体器件构造。
发明概述
在一个实施方案中,本发明包括形成半导体结构的方法。在半导体衬底,如硅晶片或体硅的一部分中蚀刻出具有水平部分和垂直部分的网格。在另一个实施方案中,在形成网格之前,在半导体衬底上生长出外延层。将网格蚀刻到半导体衬底中形成通过网格的部分相互间隔的硅的重复区域。所述重复区域形成硅支柱阵列,所述硅支柱阵列具有沿着第一轴的第一间距和沿着第二轴的第二间距。第二轴与第一轴基本垂直。第二间距约等于第一间距的2倍。多对硅支柱形成U形晶体管。
将水平网格部分的第一部分蚀刻至第一深度,并且将水平网格部分的第二部分蚀刻至第二深度。第一深度小于第二深度。具有第一深度的水平网格部分与具有第二深度的水平网格部分交替。在一个实施方案中,用第一材料填充水平网格部分的第一部分,并且用第二材料填充水平网格部分的第二部分。U形晶体管中的每一根支柱与所述U形晶体管中的另一根支柱通过第一材料隔开,并且一个U形晶体管与另一个U形晶体管通过第二材料隔开。优选地,第一材料和第二材料是含氧化物材料。在另一个实施方案中,第一材料是含氮化物材料,并且第二材料是含氧化物材料。
将垂直网格部分蚀刻至第三深度。优选地,第三深度大于第一深度并且小于第二深度。在一个实施方案中,用形成DRAM晶体管的栅极的绝缘体和导体填充垂直网格部分。
在一个实施方案中,本发明包括半导体结构。所述结构包含半导体衬底和在所述半导体衬底中形成的栅极线网格。所述网格限定通过该网格的部分相互间隔的非栅极线区域的阵列。所述阵列具有沿着第一轴的第一间距和沿着与第一轴基本垂直的第二轴的第二间距。第二间距约等于第一间距的两倍。所述非栅极线区域包含垂直延伸的源极/漏极区。
在另一个实施方案中,本发明包括存储器件构造。所述构造包含半导体衬底和蚀刻到所述半导体衬底中的栅极线。所述构造还包含第一垂直延伸的源极/漏极区和第二垂直延伸的源极/漏极区,两个区域均由衬底形成并且至少部分被栅极线环绕。源极/漏极区通过栅极线门控式(gatedly)相互连接。存储器存储器件电连接到第一源极/漏极区上。位线电连接到第二源极/漏极区上。
在本发明的一个方面中,用于形成集成电路用晶体管的方法包括蚀刻半导体衬底以形成U形硅支柱对和环绕所述U形硅支柱对的蚀刻区域,其中所述硅支柱对包含第一支柱和第二支柱。所述方法还包括在第一支柱中形成第一源极/漏极区和在第二支柱中形成第二源极/漏极区。所述方法还包括在蚀刻区域的至少一部分中形成栅极线,其中所述栅极线至少部分环绕第一支柱和第二支柱,并且其中第一源极/漏极区、第二源极/漏极区和栅极线的至少一部分形成U形晶体管。
在另一个方面中,用于形成半导体器件的方法包括将第一组沟槽在半导体衬底中蚀刻至第一深度。所述方法还包括将第二组沟槽在半导体衬底中蚀刻至第二深度,其中第一组沟槽与第二组沟槽基本平行,并且其中在半导体衬底中第一组沟槽与第二组沟槽相互交替间隔。所述方法还包括将第三组沟槽在半导体衬底中蚀刻至第三深度,其中第三组沟槽与第一组沟槽和第二组沟槽基本垂直。第一、第二和第三组沟槽限定垂直延伸的支柱的阵列,其中所述垂直延伸的支柱的阵列包含垂直的源极/漏极区。在第三组沟槽的至少一部分中形成栅极线,在此所述栅极线和所述垂直的源极/漏极区形成多个晶体管,其中多对源极/漏极区通过晶体管沟道相互连接。
在另一个方面中,用于形成存储器阵列的方法包括将器件掩模施用到半导体衬底上,以在所述半导体衬底上形成交替的第一线和第一间隙的第一图案。所述方法还包括加工所述半导体衬底以形成第一组沟槽,其中在所述半导体衬底中,在由第一间隙限定的区域的至少一部分内形成第一组沟槽。所述方法还包括在形成第一组沟槽之后,将外围掩模施用到半导体器件上,其中所述外围掩模保护邻近阵列区域的外围。所述方法还包括加工所述半导体衬底以形成与第一组沟槽基本平行的第二组沟槽,其中在所述半导体衬底中,在所述阵列区域的至少一部分内形成第二组沟槽。所述方法还包括:在形成第二组沟槽之后,将字线掩模施用到所述半导体器件上,以在所述半导体衬底上形成交替的第二线和第二间隙的第二图案,其中第二线和第二间隙与第一线和第一间隙的路径相交;和加工所述半导体衬底以形成第三组沟槽,其中在所述半导体衬底中,在由第二间隙限定的区域的至少一部分内形成第三组沟槽,并且在被保护的外围中不形成第三组沟槽。
在另一个方面中,用于在半导体结构中形成多个U形晶体管的方法包括通过多条第一沟槽将每一个U形晶体管的第一支柱与第二支柱隔开,和通过多条第二沟槽将每一个U形晶体管与相邻的U形晶体管隔开,第二沟槽比第一沟槽向半导体衬底中延伸得更深。
在另一个方面中,集成电路包含半导体衬底和在所述半导体衬底中形成的第一和第二U形晶体管。第一U形晶体管与第二U形晶体管由第一沟槽隔开,第一沟槽比第一和第二U形晶体管向所述半导体衬底中延伸得更深。所述半导体结构还包含将第一和第二U形晶体管与第三和第四U形晶体管隔开的第二沟槽,其中第二沟槽延伸到所述半导体衬底中并且比第一沟槽更浅。
在另一个方面中,存储单元包含半导体衬底和在所述半导体衬底中形成的U形晶体管。U形晶体管包含第一支柱和第二支柱,其中第一支柱与第二支柱通过延伸到所述半导体衬底中的沟槽隔开。所述半导体结构还包含连接到第一支柱上的存储器存储器件和连接到第二支柱上的位线。
在另一个方面中,半导体结构包含多列突部。每个突部包含源极、漏极和沟道。所述半导体结构还包含将所述列相互隔开的多道字线间隙。所述结构还包含在所述字线间隙的一部分内形成的多条栅极线。所述栅极线的每一条至少部分环绕所述列之一。
在另一个方面中,电子器件包含至少一个U形半导体结构,所述U形半导体结构具有通过端壁连接的在相反侧上的第一U形表面和第二U形表面。第一U形表面与第二U形表面基本平行。所述U形半导体结构包含第一源极/漏极区和第二源极/漏极区。所述电子器件还包含沿着第一U形表面形成的第一沟道和沿着第二U形表面形成的第二沟道。所述电子器件还包含面向两个U形表面的栅极线和直接邻近每一个端壁的场隔离元件。
在另一个方面中,形成存储单元的方法包括蚀刻半导体衬底以形成至少一个具有第一U形表面和第二U形表面的U形晶体管。第一U形表面与第二U形表面基本平行。所述U形晶体管包含第一源极/漏极区、第二源极/漏极区和栅极线,其中在所述半导体衬底中形成第一源极/漏极区和第二源极漏极区。所述方法还包括在所述半导体衬底中沿着第一U形表面形成第一沟道,和在所述半导体衬底中沿着第二U形表面形成第二沟道。所述方法还包括形成面向第一沟道和第二沟道中的每一条的栅极线。
在另一个方面中,形成半导体结构的方法包括在半导体衬底中蚀刻一组字线沟槽,和在半导体衬底中蚀刻一组深沟槽。第二组沟槽与字线沟槽组交叉并且形成格栅,其中所述字线沟槽组和所述深沟槽组限定所述半导体衬底中的多个突部。所述方法还包括在每一个突部中限定重掺杂区和轻掺杂区,将栅极材料沉积到所述字线沟槽组中,和隔体蚀刻所述栅极材料以限定在所述突部的侧壁上的栅极电极。
在另一个方面中,半导体结构包含半导体衬底和U形突部,所述U形突部被蚀刻到所述半导体衬底中的一组字线沟槽和一组深沟槽环绕。所述U形突部包含第一支柱和第二支柱。第一支柱和第二支柱由延伸到所述半导体衬底中的一组浅沟槽的一条浅沟槽隔开,并且第一支柱和第二支柱通过在环绕的沟槽上方延伸的槽脊连接。所述结构还包含在第一支柱的顶部形成的第一源极/漏极区、在第二支柱的顶部形成的第二源极/漏极区和在所述字线沟槽组中形成的栅极结构。槽脊和第一支柱和第二支柱的下部限定在所述U形突部的相反侧的U形沟道。所述U形沟道面向在所述字线沟槽组中形成的栅极结构。
在如下申请中公开了在衬底上构建的网格和阵列半导体结构:WernerJuengling的美国专利申请号10/855,429,律师备案号MI22-2456,题目为Semiconductor Structures,Memory Device Constructions,and Methods forForming Semiconductor Structures,2004年5月26日提交。
为了概述本发明,在此描述了本发明的某些方面、优点和新的特征。应该理解不是根据本发明的任何具体的实施方案都必然能够实现所有这些优点。因此,本发明可以用以下方式具体化或者实施:实现或优化在此教导的一个优点或一组优点,而无需实现可以在此教导或暗示的其它优点。
附图简述
现在将参考附图描述实现本发明的各种特征的普通构造。提供附图和相关说明是用于说明本发明的实施方案而非限制本发明的范围。在全部附图中,重复使用参考标记以表示在所引的元件之间的对应关系。
图1说明了其中可以形成晶体管阵列的半导体器件的一个实施方案的透视图。沿着线A-A所取的视图显示了半导体器件的第一横截面并且沿着线B-B所取的视图显示了半导体器件的第二横截面。
图2说明了在形成另外的半导体加工层之后,沿着半导体器件的一个实施方案的线A-A所取的横截面图。
图3说明了将要用于在图2中说明的器件的光掩模的一个实施方案的顶视平面图。
图4说明了在使用图3的光掩模并且将其转移以使硬质掩模层形成图案之后,沿着图2的器件的一个实施方案的线A-A所取的横截面图。
图5说明了在将图案转移到氧化物层中并且移走硬质掩模之后,沿着图4的器件的一个实施方案的线A-A所取的横截面图。
图6说明了在沉积隔体材料的覆盖层之后,沿着图5的器件的一个实施方案的线A-A所取的横截面图。
图7说明了在隔体蚀刻之后,沿着图6的器件的一个实施方案的线A-A所取的横截面图。
图8说明了在形成第一组沟槽之后,沿着图7的器件的一个实施方案的线A-A所取的横截面图。
图9说明了在填充第一组沟槽之后,沿着图8的器件的一个实施方案的线A-A所取的横截面图。
图10说明了将要用于在图9中说明的器件的光掩模的一个实施方案的顶视平面图。
图11说明了在除去顶部氧化物之后,沿着图9的器件的一个实施方案的线A-A所取的横截面图。
图12说明了在形成第二组沟槽之后,沿着图11的器件的一个实施方案的线A-A所取的横截面图。
图13说明了沿着图12的器件的一个实施方案的线A-A所取的横截面图,并且还说明了在填充第二组沟槽和接触沟槽之后,所述接触沟槽的横截面图。
图14说明了在将表面平面化之后,沿着图13的器件的一个实施方案的线A-A所取的横截面图。
图15说明了图14的器件的一个实施方案的透视图。
图16说明了将要用于在图14和15中说明的器件的光掩模的一个实施方案的顶视平面图。
图17说明了在使用图16的光掩模以将硬质掩模层形成图案之后,沿着图15的器件的一个实施方案的线B-B所取的横截面图。
图18说明了在形成与第一组沟槽和第二组沟槽垂直的第三组沟槽之后,沿着图17的器件的一个实施方案的线B-B所取的横截面图。
图19说明了在形成栅极电介质和栅极电极层之后,沿着图18的器件的一个实施方案的线B-B所取的横截面图。
图20说明了在隔体蚀刻并且使栅极电极层和电介质层内凹之后,沿着图19的器件的一个实施方案的线B-B所取的横截面图。
图21说明了在将器件再氧化以形成鸟喙并且在内凹的栅极电极和栅极电介质层的顶部形成绝缘隔体之后,沿着图20的器件的一个实施方案的线B-B所取的横截面图。
图22说明了在沉积金属层并且进行自对准硅化(silicidation)处理之后,沿着图21的器件的一个实施方案的线B-B所取的横截面图。
图23说明了在填充第三组沟槽并且将表面平面化之后,沿着图22的器件的一个实施方案的线B-B所取的横截面图。
图24说明了图23的器件的一个实施方案的示意性顶视平面图。
图25说明了图23和图24的晶体管的U形突部和沟槽的透视图,是在没有填充剂材料的情况下,为说明性目的而显示的。
图26说明了U形晶体管的一个实施方案的横截面图,显示了n+源极和漏极区、p-沟道和栅极电极的相对位置。
图27是描述在微处理器和存储器件之间的通讯的示意图。
图28是包含多条字线和位线的存储器阵列的电路图。
图29说明了存储器阵列的一部分的示意性横截面。
图30是说明与优选实施方案使用的字线的一个实施方案的存储器阵列的一部分的示意性顶视平面图。
图31是说明与优选实施方案使用的字线的另一个实施方案的存储器阵列的一部分的示意性顶视平面图。
图32是说明字线的另一个实施方案的存储器阵列的一部分的示意性顶视平面图。
图33说明了沿着图32的线A-A所取的三面晶体管的横截面图。
图34说明了沿着图32的线B-B所取的横截面图。
图35是沿着图32的线C-C所取的横截面图,显示了用于三面晶体管的倒U形栅极层。
优选实施方案详述
本发明公开了用于半导体结构如存储器阵列、字线、晶体管或任何其它结构的器件。
图1是在加工中的半导体器件100的透视图,在所述半导体器件100中可以形成晶体管。在一个实施方案中,器件100包含存储器阵列。器件100包含半导体衬底110,所述半导体衬底110可以包含多种适合的材料的任何一种。半导体衬底110可以包含半导体结构和/或在其上制备的其它层,或本领域中通常使用的任何掺杂的硅平台。尽管说明的半导体衬底110包含固有掺杂的单晶硅晶片,但是本领域技术人员应该理解其它方案中的半导体衬底110可以包含其它形式的半导体层,包括半导体器件的其它活性或可操作部分。
在一个任选的实施方案中,在衬底110上生长出外延层104。外延层104是通过外延生长法在衬底110上生长以使晶片的晶体结构延伸的半导体层(例如,硅)。在一个实施方案中,外延层104的厚度优选在约2μm至6μm的范围内,更优选在约3μm至约5μm的范围内。在下述蚀刻步骤之前,在衬底110上生长出外延层104的情况下,外延层104应该被认为是衬底110的一部分。如鉴于以下图26的描述应该理解,外延层104可以重掺杂有与背景衬底掺杂相反的导电类物质,以作为形成的一个或多个晶体管的活性区。
通过沿着线A-A切开器件100形成的平面所取的视图显示了半导体器件100的第一横截面,并且通过沿着线B-B切开器件100形成的平面所取的视图显示了在下述制造过程的各个阶段的半导体器件100的第二横截面。
图2说明了器件100的第一横截面。如图2中说明,半导体器件100还包含在衬底110上形成的材料210的层和任选的外延层104。
优选地,可以相对于衬底110(硅)和氮化硅选择性蚀刻材料210,并且可以各自相对于材料210选择性蚀刻衬底110和氮化硅。
在一个实施方案中,材料210含氧化物,例如二氧化硅,其厚度优选在约1,000至约5,000的范围内,并且更优选在约2,000至约3,000的范围内。可以使用任何适合的沉积方法,例如化学气相沉积(CVD)或物理气相沉积(PVD)沉积材料210。
根据本发明的一个实施方案,半导体器件100还包含在氧化物层210上形成并且适合用作硬质掩模的材料212的层。在优选的实施方案中,硬质掩模212包含无定形碳。在其它实施方案中,硬质掩模212可以包含原硅酸四乙酯(TEOS)、多晶硅、Si3N4、SiO3N4、SiC或任何其它适合的硬质掩模材料。可以使用任何适合的沉积方法,例如化学气相沉积(CVD)或物理气相沉积(PVD)沉积材料212。在另一个实施方案中,材料212是在光刻处理中使用的光致抗蚀剂。
图3说明了施用到器件100上以将硬质掩模层212形成图案的光掩模300的一部分。光掩模300的阴影部分表示其中在使用光刻和蚀刻技术之后硬质掩模212将保留的区域,并且非阴影部分表示其中除去硬质掩模212的区域。掩模300形成通过间隙304相互隔开的间隔线302的图案。线302和间隙304沿着水平方向延伸。
在一个实施方案中,线302是约1100至约1300宽,并且间隙304是约700至约900宽。
优选地,掩模300还包含比间隙304更宽并且在水平方向上延伸的接触间隙306。如从以下图30的论述中可以得到更好的理解,在一个实施方案中,接触间隙306在器件100上提供代替接触,例如字线接触的区域。
图4说明了在使用光掩模300(图3)并且将硬质掩模212形成图案之后,与第一横截面相同地观察的器件100。如图4中说明,在衬底110的其中掩模300(图3)形成线302的区域上,硬质掩模212保留。然而,从衬底110的其中掩模300形成间隙304的区域,除去硬质掩模212。
可以使用熟知的光刻和蚀刻技术将硬质掩模212形成图案。例如,在一些实施方案中,将光致抗蚀剂以覆盖层的形式沉积到器件100上,并且暴露于穿过分划板的辐照中。在这种曝光之后,将光致抗蚀剂膜显影以在硬质掩模212的表面上形成光致抗蚀剂掩模300(图3),并且通过掩模300蚀刻硬质掩模212以在间隙304中使器件110的氧化物210暴露。在说明的实施方案中,通过同位素蚀刻使硬质掩模212或之前的光掩模300的特征缩小,从而加宽在特征之间的间隙。
图5说明了在蚀刻氧化物210并且移走硬质掩模212之后,与第一横截面相同地观察的图4的器件100。
在一些实施方案中,使用例如离子铣、反应离子蚀刻(RIE)或化学蚀刻的方法蚀刻氧化物210。如果选择涉及化学蚀刻剂的蚀刻方法(包括RIE),则可以使用多种熟知的蚀刻剂中的任何一种,例如CF4
如图5中说明,所述蚀刻方法在衬底110上的其中掩模300(图3)或硬质掩模212(图4)形成间隙304的区域中蚀刻氧化物210,从而暴露衬底110。在衬底110的其中掩模300(图3)或硬质掩模212(图4)形成线302的区域上,氧化物210保留。
图6说明了在氧化物210上形成一层隔体材料602之后,与第一横截面相同地观察的图5的器件100。优选地,隔体材料602填充间隙304的约1/20至1/3。优选地,可以相对于衬底110(硅)和氧化物210选择性蚀刻隔体材料602,并且可以相对于隔体材料602选择性蚀刻衬底110(硅)和氧化物210。在一个实施方案中,隔体材料602层包含含氮化物材料,例如氮化硅,其厚度优选在约150至约250的范围内,并且更优选在约180至约220的范围内。可以使用任何适合的沉积方法,例如化学气相沉积(CVD)或物理气相沉积(PVD)沉积材料602。
图7说明了在形成氮化物隔体702之后,与第一横截面相同地观察的图6的器件100。在一个实施方案中,采用熟知的隔体蚀刻方法,各向异性蚀刻优选除去水平表面并且将氮化物层602形成图案成为隔体702。隔体702在间隙304中形成使得间隙304变窄。隔体702沿着间隙304的侧面内边缘,在水平方向上纵向延伸,并且其宽度优选在约150至约250的范围内,并且更优选在约180至约220的范围内。
图8说明了在硅衬底110中蚀刻出多条第一或″浅″沟槽800之后,与第一横截面相同地观察的图7的器件100。使用例如离子铣、反应离子蚀刻(RIE)或化学蚀刻的方法,在间隙304的硅衬底110中蚀刻出第一沟槽800。如果选择涉及化学蚀刻剂的蚀刻方法(包括RIE),则可以使用多种熟知的蚀刻剂中的任何一种,例如Cl2。
第一或浅沟槽800的深度优选在约2,700至约3,300的范围内,更优选在约2,850至约3,150的范围内。第一沟槽800的宽度优选在约170至约430的范围内,并且更优选在约200至约400的范围内。沟槽800在器件100的水平方向上纵向延伸。参见图3。
图9说明了在沉积材料900层以填充第一沟槽800之后,与第一横截面相同地观察的图8的器件100。可以使用任何适合的沉积方法,例如化学气相沉积(CVD)或物理气相沉积(PVD)沉积材料900。优选地,可以相对于衬底110(硅)和氮化物702选择性蚀刻材料900。在一个实施方案中,材料900包含氧化物,例如二氧化硅。
在第二实施方案中,可以优选相对于衬底110(硅)和氧化物210选择性蚀刻材料900,并且可以各自相对于材料900选择性蚀刻衬底110(硅)和氧化物210。在第二实施方案中,材料900包含氮化物,例如氮化硅。参见图32-35的讨论以理解第二实施方案。
图10说明了施用到图9的器件100上的光掩模1000。如上所述,使用典型的掩模方法。在一个实施方案中,在将硬质掩模材料层沉积到器件100上之后,使用常规的光刻和蚀刻技术蚀刻硬质掩模。光掩模1000的阴影部分表示其中在使用常规的光刻和蚀刻技术之后,硬质掩模层保留的区域。保留的硬质掩模层防止器件100的外围受到进一步加工。
光掩模1000的非阴影部分表示其中常规的光刻和蚀刻技术除去硬质掩模层的区域。从由掩模1000的非阴影部分限定的区域内的器件100的表面中除去硬质掩模层,从而允许在由掩模1000的非阴影部分限定的区域内进一步加工器件100。
优选地,掩模300(图3)的宽度比掩模1000的开口宽度更窄,并且掩模300(图3)的长度比掩模1000的开口长度更短。
图11说明了在除去氧化物210之后,与第一横截面相同地观察的图9的器件100。氧化物210的除去在第一沟槽800之间产生间隙1100。使用例如反应离子蚀刻(RIE)的方法,将氧化物210向下蚀刻至衬底110的表面。RIE是既具有物理成分又具有化学成分的方向各向异性蚀刻。在RIE中使用的物理蚀刻处理的一个实例是溅射蚀刻。
如图11中说明,优选在通过除去氧化物留下的间隙1100中,在氮化物隔体702旁边形成第二隔体1102。在一个实施方案中,隔体1102包含含氮化物材料,例如氮化硅,其厚度优选在约360至约440的范围内,并且更优选在约380至约420的范围内。
在一个实施方案中,例如各向异性蚀刻的方法由沉积在器件100的表面上的含氮化物材料层形成隔体1102。这种方法与如上所述用于形成隔体702的方法类似。隔体1102在隔体702旁边并且在间隙1100中形成,使得间隙1100变窄。隔体1102优选填充间隙1100的约1/20至2/3,从而使间隙1100变窄至优选在约360至约440的范围内,并且更优选在约380至约420的范围内的宽度。隔体1102沿着间隙1100的侧面内边缘,在水平方向上纵向延伸。
图12说明了在蚀刻出多条第二或″深″沟槽1200之后,与第一横截面相同地观察的图11的器件100。优选使用选择性蚀刻硅衬底110并且不蚀刻氧化物和氮化物材料的一种方向性处理方法,例如离子铣或反应离子蚀刻(RIE),在间隙1100的硅衬底110中蚀刻出第二沟槽1200。
第二或深沟槽1200的深度优选在约4,500至约5,500的范围内,并且更优选在约4,750至约5,250的范围内。第二沟槽1200的宽度优选在约170至约430的范围内,并且更优选在约200至约400的范围内。第二沟槽1200在器件100的水平方向上纵向延伸。
优选地,如所说明的,第二沟槽1200比第一沟槽800深。
图13说明了在用材料1300填充第二沟槽1200之后,与第一横截面相同地观察的图12的器件100。优选地,可以相对于衬底110(硅)和氮化硅选择性蚀刻材料1300,并且可以各自相对于材料1300选择性蚀刻衬底110和氮化硅。在一个实施方案中,材料1300包含氧化物,例如二氧化硅。使用任何适合的沉积方法,例如CVD,但是优选通过玻璃上旋转(SOG)沉积,可以沉积材料1300。如在如下论述中可以看出,材料1300将作为最终结构中的场隔离元件。
图13还说明了通过加工接触间隙306形成的接触沟槽1302。优选同时蚀刻并且在如上所述用于形成第二沟槽1200的加工过程中填充接触沟槽1302。
接触沟槽1302的深度优选在约4,500至约5,500的范围内,并且更优选在约4,750至约5,250的范围内。接触沟槽1302的宽度优选在约4F至约6F的范围内,或U形器件的约2-3倍长度。接触沟槽1302在器件100的水平方向上纵向延伸。
图14说明了在将器件100的表面平面化之后,与第一横截面相同地观察的图13的器件100。可以使用任何适合的平面化方法,例如化学机械平面化(CMP)。
如图14中说明的,器件100包含多对″体″硅支柱1400。在说明的实施方案中,填充有氧化物1300的每条第二或深沟槽1200将一对″体″硅支柱1400与邻近的一对″体″硅支柱1400隔开。在说明的实施方案中,填充有氧化物或氮化物900的更浅的第一沟槽800将每一对硅支柱1400中的第一硅支柱1402与第二硅支柱1404隔开。
图15说明了图14的器件的透视图。第一或浅沟槽800、第二或深沟槽1200、接触沟槽1302和硅支柱1400在器件100的水平方向上纵向延伸。
参考图3,光掩模300限定在器件100中蚀刻出的线302和间隙304。通过如上所述进行加工步骤,光掩模300的线特征302和间隙特征304形成沟槽800、1200和支柱1402、1404。由于在蚀刻处理过程中形成保护硅衬底110的隔体,对于掩模300的线光特征302和间隙光特征304中的每一个,器件100包含约两根支柱1402、1404。在光掩模300的相同、相邻特征之间的距离约等于硅支柱1402、1404之间的距离的两倍,并且相对于光刻限定的临界尺寸,认为更加致密充填的支柱是″双倍间距的″或″间距倍增的″。
图16说明了用于图15的器件100的第三光掩模1600的一部分。掩模1600在开口中形成隔离线1602的图案。通过间隙1604将线1602相互隔开。线1602和间隙1604沿着垂直方向延伸。第三掩模1600还形成在间隔线1602和间隙1604的图案和以阵列为界的第二掩模1000之间的区域。
参考图7,隔体702沿着在线302中保留的多行氧化物210的侧部和端部形成,从而在每行氧化物210的端部周围形成环。另外,参考图11,隔体1102沿着隔体702的侧部和端部形成,从而在形成浅沟槽800周围形成环。在下述蚀刻处理过程中,环绕间隔线1602和间隙1604的图案的第三掩模的区域导致在浅沟槽800周围的隔体702和1102的环被蚀刻。因此,隔体702,1102沿着间隙1100的侧面内边缘,在水平方向上纵向延伸,从而在器件100的外围形成线而不形成环。
图17说明了在将光掩模1600的图案转移到硬质掩模材料1700的下层上之后的图16的器件。图17说明了在通过沿着线B-B切开器件100形成的平面中,或从与第一横截面垂直的第二横截面观察的器件100的图。
在一个实施方案中,使用碳缩工艺(carbon shrink process)以将线宽度进一步减小至小于F,其中F是光掩模的特征的最小可印刷尺寸,线1602宽为0.5F并且间隙1604宽为1.5F。碳缩小方法不改变掩模1600的间距。光掩模1600的阴影部分、线1602表示其中在使用光刻和蚀刻技术之后硬质掩模层保留的区域,并且非阴影部分、间隙1604和边界1606(图16)表示其中除去硬质掩模层的区域。
如上所述,使用典型的掩模方法。在沉积硬质掩模材料层1700之后,可以使用熟知的光刻和蚀刻技术将硬质掩模1700形成图案。例如,在一些实施方案中,将光致抗蚀剂以覆盖层的形式沉积在器件100上,并且暴露于通过光掩模1600的辐照中。在这种曝光之后,将光致抗蚀剂膜显影以在硬质掩模1700的表面上形成光致抗蚀剂掩模,并且蚀刻硬质掩模1700使得在器件100的间隙区1604和边界区1606(图16)中暴露衬底110。
如图17中说明的,在衬底110的其中第三掩模1600形成线1602的区域上,硬质掩模1700保留。优选地,使用碳缩工艺(例如,通过同位素蚀刻),将线1602减小至0.5F的宽度,并且间隙1604的宽度变成1.5F,其中F是光掩模特征的最小可印刷尺寸。
图18说明了在形成多条第三或字线沟槽1800之后,并且在移走硬质掩模1700之后,与第二横截面相同地观察的图17的器件100。
在衬底110中,在器件100的区域1604内蚀刻出第三沟槽1800。使用以相同速率蚀刻氧化物和体硅的任何干法蚀刻,可以蚀刻硅衬底110和氧化物900、1300。在其它实施方案中,第一次蚀刻蚀刻硅衬底110并且第二次蚀刻蚀刻氧化物900、1300。备选地,第一次蚀刻蚀刻氧化物900、1300并且第二次蚀刻蚀刻硅衬底110。
第三或字线沟槽1800的深度优选在约3,600至约4,400的范围内,并且更优选在约3,800至约4,200的范围内。第三沟槽1800的宽度约为1.5F,或优选在约1450至约1780的范围内,并且更优选在约1540至约1700的范围内。第三沟槽1302在与器件100的第一沟槽800和第二沟槽1200基本垂直或正交的水平面中侧向延伸。
优选地,第三沟槽1800比第一沟槽800更深以允许沿着第三沟槽1800的侧壁形成晶体管栅极电极。此外,第三沟槽1800优选与第二沟槽1200不一样深,以在启用字线时,允许第二沟槽1200在紧密间隔的晶体管之间提供隔离。
器件100还包含在第三沟槽1800之间形成的硅支柱1802。
图19说明了在形成电介质材料1902层并且在器件100上沉积材料1904层之后,与第二横截面相同地观察的图18的器件100。在一个实施方案中,电介质是包括二氧化硅的栅极氧化物。电介质1902的厚度优选在约50至约70的范围内,并且更优选在约54至约66的范围内。在一个实施方案中,通过半导体衬底110的湿法或干法氧化,随后通过掩模蚀刻,或者通过电介质沉积技术,可以涂覆电介质1902。
在一个实施方案中,材料1904包含栅极电极层,例如多晶硅,并且具有约1/2F的厚度。优选地,所述多晶硅的厚度约为540,并且更优选在约490至约510的范围内。可以使用任何适合的沉积方法,例如化学气相沉积(CVD)或物理气相沉积(PVD)沉积多晶硅1904。
还将多晶硅1904沉积在通过蚀刻边界区域1606(图16)形成的沟槽中。
图20说明了在隔体蚀刻和蚀刻多晶硅1904和电介质1902,并且使其内凹以形成隔体2000之后,与第二横截面相同地观察的图19的器件100。所述隔体蚀刻还隔开在第三沟槽1800的底部的隔体2000。
使多晶硅1904和电介质1902内凹以形成隔体2000,暴露了硅支柱1802的上侧部分2002。凹口是约900至约1100深,或约为沟槽1800的深度的1/3。
图21说明了在再氧化器件100之后并且在形成栅极绝缘隔体2102之后,与第二横截面相同地观察的图20的器件100。
在一些实施方案中,加工步骤可能对栅极氧化物1902造成损坏。再氧化处理可以在暴露的拐角(在支柱的顶部和在第三沟槽1800的底部)修复损坏的栅极氧化物1902的至少一部分。再生的栅极氧化物材料2100将晶体管的活性区与在栅极电极的高场拐角的隔体2000隔开,并且在完成再氧化处理之后形成特有的鸟喙形状。隔体2000是栅极电极或栅极层2000。在一个实施方案中,通过衬底110的湿法或干法氧化,或通过其他的普通的氧化技术进行再氧化。在一个实施方案中,从栅极层1904深腐蚀(etched back)在栅极层1904上形成的再生栅极氧化物材料2100。
如在图21中还说明的,在硅支柱1802的暴露的上侧部分2002上形成隔体2102。隔体2102包含含氮化物材料,例如氮化硅,并且以与上述用于形成隔体702的方法类似的方法形成。隔体2102小于隔体2000,并且加强在栅极的高场拐角的屏蔽,以减小或者防止电流泄漏并且防止从随后的自对准多晶硅化(salicide)处理中栅极与源极/漏极短路。形成隔体2102的方法还使用含氮化物材料填充在沟槽1800的底部的多晶硅隔体2000之间的间隙。
图22说明了在形成导电层2200之后,与第二横截面相同地观察的图21的器件100。
在一个实施方案中,将多晶硅隔体200自对准多晶硅化(salicided)(自对准硅化物化)以形成导电材料2200层。将金属层进行覆盖沉积,并且在金属接触硅的任何地方,例如在支柱的顶部和多晶硅隔体2000的暴露表面上,退火步骤导致硅化物化。在一个实施方案中,硅化物材料2200包含硅和金属,例如钨、钛、钌、钽、钴和镍,并且其厚度在约100和300之间,且更优选在约190和210之间。选择性金属蚀刻除去过量金属和不接触硅的金属。
金属硅化物形成自对准跨接(strapping)层2200以增加沿着字线的横向导电率。所述金属硅化物还形成在支柱1802的顶部上以提供源极和漏极接触,这可以从以下图29的论述中得到更好的理解。任选的物理蚀刻确保隔开在沟槽1800底部的隔体2000。
本领域普通技术人员应该理解导电层2200还可以由其它金属,例如金、铜、铝等制成,并且无需与硅反应。金属混合物同样适用于形成导电层2200。如果金属跨接层2200不通过自对准硅化物法形成,那么优选的方法是在硅上的选择性沉积。沉积导电层2200的其它方法包括但不限于快速热化学气相沉积(RTCVD)、低压化学气相沉积(LPCVD)和物理气相沉积(PVD)。
图23说明了在使用绝缘材料2300填充第三沟槽1800剩余部分之后,与第二横截面相同地观察的图22的器件100。在一个实施方案中,绝缘材料2300包含氧化物,例如二氧化硅。可以使用任何适合的沉积方法,例如SOD、CVD或PVD,沉积绝缘材料2300。
图23还说明了在平面化之后的器件100。可以使用任何适合的平面化方法,例如化学机械抛光(CMP)。CMP浆相对硅化物是优选选择的,从而保护在支柱顶部上的接触。
从第二横截面观察,器件100包含通过多条氧化物填充的第三沟槽1800相互隔开的一行硅支柱1802。硅支柱1802优选是约410至510宽,并且更优选是约440至480宽。第三沟槽1800还包含栅极电介质1902,栅极层2000和导电跨接层2200。
图24说明了器件100的顶视图。器件100包含硅支柱1802、第一或浅沟槽800、氧化物填充的第二或深沟槽1200和氧化物填充的第三字线沟槽1800的阵列。第一或浅沟槽在说明的实施方案中填充有氧化物,并且在另一个实施方案中填充有氮化物(参见图32-34和相关正文)。器件100还包含电介质层1902(没有显示),字线隔体2000和金属跨接层2200。为清楚起见没有显示电介质层1902,电介质层1902只在硅支柱1802的侧面形成并且是将字线隔体2000与硅支柱1802隔开的薄层。为清楚起见没有显示金属跨接层2200。
硅支柱1802的阵列具有第一间距2402和第二间距2404。所述间距是在阵列中的重复元件之间的距离。第一间距2402是在y方向上测量的硅支柱1802的宽度加上在y方向上测量的硅支柱1802之间的距离。第二间距2404是在x方向上测量的硅支柱1802的长度加上在x方向上测量的硅支柱1802之间的距离。在一个实施方案中,第二间距2404约等于第一间距2402的两倍。
多对支柱1802还形成垂直晶体管的突部2406。每个垂直晶体管突部2406包含两根支柱1802,所述两根支柱1802由氧化物或氮化物填充的第一或浅沟槽800隔开,并且通过在浅沟槽800之下延伸的沟道底部部分2407连接。通过氧化物填充的第二或深沟槽1200将垂直晶体管2406在y方向上相互隔开。
通过氧化物填充的第三或字线沟槽1800将字线隔体或字线2000相互隔开。
图25说明了器件100的硅支柱1802的透视图。为清楚起见,省略在字线沟槽1800中形成的电介质层1902、字线2000和金属跨接层2200。同样,为清楚起见,以未填充的形式显示沟槽800、1200、1800。
图25说明了由上述相交的沟槽形成的多个U形突部2406。每个U形突部包含通过沟道底部部分2407连接的一对支柱1802。每个U形突部2406包含垂直晶体管的源极、漏极和沟道区。特别是,所述一对支柱1802的每根支柱1802形成晶体管的源极或漏极区。第一沟槽800将突部2406的一根支柱1802与突部2406的另一根支柱1802隔开。第二沟槽1200将一个晶体管突部2406与另一个晶体管突部2406在y方向上隔开。
每一种U形支柱构造具有面向字线沟槽1800的两个U形侧表面,从而形成两侧环绕的栅极晶体管。每对U形支柱包含具有共用的源极、漏极和栅极的两条背对背的U形晶体管流路。因为每对U形支柱中的背对背晶体管流路共用源极、漏极和栅极,所以每对U形支柱中的背对背晶体管流路不能相互独立地运行。在每对U形支柱中的背对背晶体管流路形成一个晶体管突部2406的多余流路。
当晶体管起作用时,电流i保持在U形晶体管突部2406的左侧和右侧表面。U形晶体管突部2406的左侧和右侧表面由第三或字线沟槽1800限定。每一条路线的电流保持在一个平面内。电流不转向U形晶体管突部2406的拐角。在一个实施方案中,晶体管可能变得完全耗尽(depleted)。
字线2000环绕一列U形晶体管。第三或字线沟槽1800将一根字线2000与另一根字线2000在x方向上隔开。
在一个实施方案中,第二沟槽1200比第三沟槽1800深,并且第三沟槽1800比第一沟槽800深。
在一个实施方案中,用含氧化物材料900填充第一沟槽800,用含氧化物材料1300填充第二沟槽1200,并且用含氧化物材料2300填充第三沟槽1800。任选地,在另一个实施方案中,用含氮化物材料填充第一沟槽800,用含氧化物材料填充第二沟槽1200,并且用含氧化物材料填充第三沟槽1800。如下面将对图32-35的实施方案进一步论述,另外的加工步骤可以从第一沟槽800中除去含氮化物材料,并且用导电材料填充第一沟槽800。
图26说明了与第一横截面相同地观察的晶体管的一个实施方案,其中栅极线隔体2000(没有显示)将晶体管突部2406的源极/漏极区相互门控式连接。尽管栅极线隔体2000由于与观察平面平行而在图26中没有显示,但是用虚线2414,2416表示栅极线隔体2000的高度。虚线2416还表示第三或字线沟槽1800的底部。
晶体管突部2406包含通过沟道底部部分2407连接的第一硅支柱2600和第二硅支柱2602。支柱2600、2602的每一根在支柱的最上部分具有n+掺杂的源极/漏极区,其中支柱2600的重掺杂区标记为2604,并且支柱2602的重掺杂区标记为2606。
晶体管突部2406还包含掺杂区2608,所述掺杂区2608从n+掺杂区2606延伸,通过沟道底部部分2407至掺杂区2604,将这种掺杂区2608表示为p-。掺杂区2608形成晶体管的U形沟道。
第一支柱2600的n+掺杂的源极/漏极区2604与第二支柱2602的n+掺杂的源极/漏极区2606通过U形沟道2608连接。晶体管的沟道长度是从源极/漏极区2604通过U形沟道2608延伸至源极/漏极区2606的长度。
通过沿着沟道长度调整掺杂剂浓度和类型可以影响器件的沟道特性。因此,通过用于支柱2600和2602的材料类型可以影响器件特性。此外,通过用于栅极线隔体2000的材料类型和栅极线隔体2000的厚度也影响器件特性。
优选地,在上述蚀刻步骤之前掺杂半导体衬底110以产生沟道和源极/漏极区。在一个实施方案中,在加工半导体器件100之前,掺杂外延层104以产生源极/漏极区。在另一个实施方案中,在上述蚀刻步骤过程中,在另外的加工步骤中掺杂半导体衬底110以产生源极/漏极区。在另一个实施方案中,在上述蚀刻步骤之后,在另外的加工步骤中掺杂半导体衬底110以产生源极/漏极区。可以使用任何适合的掺杂方法,例如离子注入或扩散掺杂半导体器件100。
图26说明了本发明的示例性实施方案,并且应理解本发明还包括各种修改。例如,图26中所示的掺杂剂类型可以与所示实施方案相反。因此,可以将所有n型区改变为导电性相反的(即p型)区域,同样可以将p型区改变为导电性相反的(即n型)区域。
图27说明了通过常规的地址信号2714和数据信号2716与其它电子线路2712连接的存储器阵列2710。在存储器阵列2710中,地址信号2714选择一个或多个存储器单元。另一方面,数据信号2716传输在存储器阵列2710中储存或从中取出的数据。
在一个实施方案中,存储器阵列2710是动态随机存取存储器件(DRAM)。在其它实施方案中,存储器阵列2710可以包含多种存储器件,如静态存储器、动态存储器、扩展数据输出存储器、扩展数据输出动态随机存取存储器(EDO DRAM)、同步动态随机存取存储器(SDRAM)、双数据率同步动态随机存取存储器(DDR SDRAM)、同步连接动态随机存取存储器(SLDRAM)、视频随机存取存储器(VRAM)、存储器件总线式动态随机存取存储器(RDRAM)、静态随机存取存储器(SRAM)、闪存或本领域中已知的任何其它存储器类型。
存储器阵列2710与不同类型的电子电路2712连接。作为实施例,电子线路2712可以包含访问或依赖于存储器件的任何器件,包括但不限于计算机等。
作为实例,计算机包含如在此所述运行的处理器、程序逻辑或表示数据和指令的其它的基板构造。在其它的实施方案中,处理器可以包括控制器线路、处理器线路、处理器、通用单芯片或多芯片微处理器、数字信号处理器、嵌入式微处理器、微控制器等。
在一些实施方案中,存储器阵列2710和电子线路2712是单独实现的。在其它实施方案中,使存储器阵列2710和电子线路2712一起形成整体。此外,本领域普通技术人员应该理解可以在多种器件、制品和系统中实现存储器阵列2710。
图28说明了包含多个存储单元2820的存储器阵列2710。将这些存储单元2820被组织成C1-CN列和R1-RN行。列译码器2824和行译码器2826处理地址信号2714以识别目标存储单元2820的行CN和列RN。通常将所述列(在说明的构造中)称为字线并且典型地将所述行称为位线(digit line)。
图29说明了由器件100形成的存储器阵列2710的一部分。在一个实施方案中,每一个垂直晶体管的支柱182中的一根连接到位线或位线2914(B)上,并且晶体管的另一根支柱1802连接到存储器存储器件2910(C),例如电容器上,以形成存储器件例如DRAM的一部分。在一个实施方案中,存储器存储器件2910通过插塞或接触2912电连接到晶体管的支柱1802中的一根上。字线2000由虚线2414,2416表示。
在一个典型的实施方案中,包含U形晶体管突部2406、接触2912和存储器存储器件2910的存储单元2820和位线2914在存储器阵列2710中占据了4F2空间,其中F是由光致抗蚀剂掩模300、1600限定的最小可印刷特征。在通过图1-29说明的实施方案中,隔体702、1102减小光致抗蚀剂掩模的F大小的特征。
图30说明了包含多条字线2000的存储器阵列2710的一部分。字线2000至少部分环绕一列U形晶体管突部2406。沿着器件100中的多列U形晶体管突部2406的接触沟槽1306提供字线从上方接触用空间。
图31说明了使用字线2000的存储器阵列2710的一部分的另一个实施方案。将用于字线2000的接触放置在多列晶体管的交替端。在此实施方案中,将字线2000形成图案以在存储器阵列2710中更高度集成。
图32-35说明了包含字线3200的存储器阵列2710的一部分的另一个实施方案。存储器阵列2710还包含多个三面晶体管3202。每一个晶体管3202包含如上面图1-14所述形成的两根硅支柱1802。然而,用含氮化物材料,如氮化硅填充第一或浅沟槽800。如图16-18所述形成字线沟槽1800。
在形成栅极电介质1902并且在字线沟槽1800中沉积栅极层1904之前,如图19中说明,选择性氮化物蚀刻从浅沟槽800中除去氮化物(参见图14)。
在选择性氮化物蚀刻从浅沟槽800中除去氮化物之后,如图19中说明,形成栅极电介质1902,并且在字线沟槽1800中沉积栅极层1904。还在浅沟槽800中形成栅极电介质1902。此外,还将栅极层1904沉积在浅沟槽800中。因为浅沟槽800比字线沟槽1800窄,栅极层1904的沉积填充浅沟槽800。
如图20中说明的,栅极层1904的隔体蚀刻使沉积在浅沟槽800中的栅极层1904内凹,但是不除去在浅沟槽800中的栅极层1904。
如图21-23中所述继续进行处理。将器件100再氧化并且形成隔体2102(图21),形成导电层2200(图22),并且使器件100平面化(图23)。
参考图32,通过上述方法形成的字线3200限定梯型多晶硅栅极层3200。晶体管3202的三侧被梯型多晶硅栅极层3200环绕,从而形成三面环绕的栅极晶体管3202。
图33说明了从由图32的线A-A形成的平面观察的U形晶体管3202的横截面。器件100包含一对硅支柱1802、氧化物填充的深沟槽1200、浅沟槽800和衬底110。浅沟槽800包含电介质层1902,并且填充有栅极层3200。
与观察平面平行的栅极层3200的部分由虚线表示。多对支柱1802形成晶体管3202。在一对支柱1802中的每一根支柱1802与所述一对支柱1802中的另一根支柱1802由多晶硅填充的浅沟槽800隔开。每一个晶体管3202与另一个晶体管3202由氧化物填充的深沟槽1200隔开。
在说明的实施方案中,每一根支柱1802在该支柱的最上部分具有p+掺杂的源极/漏极区。晶体管3202还包含从一根支柱1802的p+掺杂区延伸至另一根支柱1802的p+掺杂区的n-掺杂区。字线3200由虚线表示。
图34说明了从由图32的线B-B形成的平面观察的存储器阵列2710的横截面。存储器阵列2710包含硅支柱1802。硅支柱1802由氧化物填充的第三沟槽1800相互隔开。硅支柱1802优选是约410至510宽,并且更优选是440至480宽。存储器阵列2710还包含栅极电介质1902、字线3200和导电跨接层2200。
图35说明了从由图32的线C-C形成的平面观察的存储器阵列2710的横截面,为方便起见没有显示导电跨接层。该图说明了形成梯型栅极层3200的″梯级″的(部分)多晶硅填充的浅沟槽800。浅沟槽800的底部3500限定梯型栅极层3200的″梯级″的下缘。存储器阵列2710包含硅支柱1802。硅支柱1802由氧化物填充的第三沟槽1800相互隔开。氧化物填充的第三沟槽1800包含梯型栅极层3200的″侧面″。存储器阵列2710还包含栅极电介质1902和导电跨接层2200。
可以将本发明的方法用于诸多应用中。例如,可以将本发明用于形成一个晶体管、一个电容器的4F2DRAM单元。在具体的实施方案中,可以认为本发明包括垂直DRAM单元技术。一根晶体管支柱将单元存储器件连接到衬底上,并且另一根晶体管支柱将位线连接到所述衬底上。自对准侧面晶体管沟道区将垂直的源极/漏极区支柱相互连接。所述单元可以具有低数字容量和低字线电阻。因为U形晶体管突部2406包含共享共用源极、漏极和栅极的两个U形表面,所以所述单元可以具有防止垂直轴问题的冗余度(redundancy)。
尽管描述了本发明某些实施方案,但是这些实施方案只是作为实施例而描述的,并且不意在限制本发明的范围。实际上,可以以各种其它形式实施在此描述的新方法和系统;此外,在不偏离本发明的精神的情况下,可以进行在此描述的方法和系统的各种省略、替换和形式上的改变。后附权利要求及其等价物意在覆盖落入本发明的范围和精神内的这些形式或修改。

Claims (57)

1.一种用于形成集成电路用晶体管的方法,所述方法包括:
蚀刻半导体衬底以形成U形硅支柱对和环绕所述U形硅支柱对的蚀刻区域,其中所述硅支柱对包含第一支柱和第二支柱;
在第一支柱中形成第一源极/漏极区;
在第二支柱中形成第二源极/漏极区;和
在所述蚀刻区域的至少一部分中形成栅极线,其中所述栅极线至少部分环绕第一支柱和第二支柱,其中第一源极/漏极区、第二源极/漏极区和所述栅极线的至少一部分形成U形晶体管。
2.权利要求1所述的方法,所述方法还包括在所述蚀刻区域的至少一部分中的所述U形硅支柱对上形成电介质层,其中所述电介质层至少部分环绕第一支柱和第二支柱。
3.权利要求1所述的方法,所述方法还包括在所述栅极线上形成金属层,和在所述金属层上进行自对准多晶硅化处理。
4.权利要求1所述的方法,所述方法还包括使用含氧化物材料填充所述蚀刻区域的至少一部分。
5.一种用于形成半导体器件的方法,所述方法包括:
将第一组沟槽蚀刻到半导体衬底中至第一深度;
将第二组沟槽蚀刻到所述半导体衬底中至第二深度,其中第一组沟槽与第二组沟槽基本平行,并且其中在所述半导体衬底中第一组沟槽与第二组沟槽相互交替隔开;
将第三组沟槽蚀刻到所述半导体衬底中至第三深度,其中第三组沟槽与第一组沟槽和第二组沟槽基本垂直;
其中第一、第二和第三组沟槽限定垂直延伸的支柱的阵列,其中所述垂直延伸的支柱的阵列包含垂直的源极/漏极区;和
在第三组沟槽的至少一部分中形成栅极线,其中所述栅极线和所述垂直的源极/漏极区形成其中多对所述源极/漏极区通过晶体管沟道相互连接的多个晶体管。
6.权利要求5所述的方法,其中第三深度大于第一深度且小于第二深度。
7.权利要求5所述的方法,所述方法还包括使用含氧化物材料填充第一组沟槽的至少一部分。
8.权利要求5所述的方法,所述方法还包括使用导电栅极材料填充第一组沟槽的至少一部分。
9.权利要求5所述的方法,所述方法还包括使用含氧化物材料填充第二组沟槽的至少一部分。
10.权利要求5所述的方法,其中所述栅极线包含栅极电极层和金属层。
11.权利要求10所述的方法,其中所述金属层包含金属硅化物。
12.权利要求5所述的方法,其中每一个晶体管包含电连接到位线上的第一源极/漏极区和电连接到存储器存储器件上的第二源极/漏极区。
13.权利要求5所述的方法,其中在蚀刻第三组沟槽之前,蚀刻第一组沟槽和第二组沟槽。
14.一种用于形成存储器阵列的方法,所述方法包括:
将器件掩模施用到半导体衬底上以在所述半导体衬底上形成交替的第一线和第一间隙的第一图案;
加工所述半导体衬底以形成第一组沟槽,其中在所述半导体衬底中,在由第一间隙限定的区域的至少一部分内形成第一组沟槽;
在形成第一组沟槽之后,将外围掩模施用到半导体器件上,其中所述外围掩模保护邻近阵列区域的外围;
加工所述半导体衬底以形成与第一组沟槽基本平行的第二组沟槽,其中在所述半导体衬底中,在所述阵列区域的至少一部分内形成第二组沟槽;
在形成第二组沟槽之后,将字线掩模施用到所述半导体器件上以在所述半导体衬底上形成交替的第二线和第二间隙的第二图案,其中第二线和第二间隙与第一线和第一间隙的路径相交;和
加工所述半导体衬底以形成第三组沟槽,其中在所述半导体衬底中,在由第二间隙限定的区域的至少一部分内形成第三组沟槽,并且不在所述被保护的外围中形成第三组沟槽。
15.权利要求14所述的方法,所述方法还包括在施用第一掩模之前,在所述半导体衬底上形成外延硅层。
16.权利要求14所述的方法,所述方法还包括在所述半导体衬底中,在由第二线限定的区域的至少一部分内形成支柱阵列。
17.权利要求16所述的方法,其中所述支柱包含垂直源极/漏极区。
18.权利要求14所述的方法,所述方法还包括在第三组沟槽的至少一部分内形成栅极线。
19.权利要求18所述的方法,其中多对支柱形成U形晶体管,其中在一对支柱中的每一根支柱由第一组沟槽中的一条沟槽隔开,并且其中每一个U形晶体管与邻近的U形晶体管由第二组沟槽中的一条沟槽隔开。
20.权利要求19所述的方法,其中每一个晶体管包含在所述一对支柱的顶部的第一源极/漏极区和第二源极/漏极区。
21.权利要求20所述的方法,所述方法还包括:
将位线电连接到第一源极/漏极区上;和
将存储器存储器件电连接到第二源极/漏极区上。
22.权利要求19所述的方法,其中被所述栅极线环绕的一列U形晶体管形成字线,所述栅极线在所述列的任一侧的第三组沟槽中的沟槽内。
23.权利要求21所述的方法,其中所述存储器存储器件是电容器。
24.一种用于在半导体结构中形成多个U形晶体管的方法,所述方法包括:
通过多条第一沟槽将每一个U形晶体管的第一支柱和第二支柱隔开;和
通过多条第二沟槽将每一个U形晶体管与邻近的U形晶体管隔开,与第一沟槽相比,第二沟槽向半导体衬底中延伸得更深。
25.权利要求24所述的方法,所述方法还包括使用第一绝缘材料填充第一沟槽。
26.权利要求25所述的方法,所述方法还包括使用第二绝缘材料填充第二沟槽。
27.权利要求24所述的方法,所述方法还包括在隔开之前,在所述半导体结构上形成外延硅层。
28.权利要求24所述的方法,所述方法还包括通过多条第三沟槽隔开多列U形晶体管,与第一沟槽相比,第三沟槽向所述半导体衬底中延伸得更深。
29.一种集成电路,其包含:
半导体衬底;
在所述半导体衬底中形成的第一和第二U形晶体管,第一U形晶体管和第二U形晶体管由第一沟槽隔开,所述第一沟槽比第一和第二U形晶体管向半导体衬底中延伸得深;和
将第一和第二U形晶体管与第三和第四U形晶体管隔开的第二沟槽,其中第二沟槽延伸到所述半导体衬底中并且比第一沟槽浅。
30.权利要求29所述的集成电路,其中第二沟槽是字线沟槽。
31.权利要求29所述的集成电路,其中使用含氧化物材料填充第一沟槽。
32.权利要求29所述的集成电路,其中第二沟槽包含栅极线。
33.权利要求29所述的集成电路,其中所述半导体衬底包含外延硅层。
34.一种存储单元,其包含:
半导体衬底;和
在所述半导体衬底中形成的U形晶体管,所述U形晶体管包含第一支柱和第二支柱,其中第一支柱和第二支柱由延伸到所述半导体衬底中的沟槽隔开;
存储器存储器件,所述存储器存储器件连接到第一支柱上;和
位线,所述位线连接到第二支柱上。
35.权利要求34所述的存储单元,其中所述位线在所述半导体衬底上方。
36.权利要求34所述的存储单元,其中所述存储器存储器件在第一支柱上方。
37.权利要求36所述的存储单元,其中所述存储器件是电容器。
38.权利要求34所述的存储单元,所述存储单元还包含与所述存储单元连接的处理器。
39.权利要求34所述的存储单元,其还包含:
沿着所述U形晶体管的相反侧形成的栅极线,其中所述栅极线基本垂直于所述沟槽;和
绝缘材料,其中所述绝缘材料基本填充所述沟槽。
40.权利要求34所述的存储单元,其还包含:
沿着所述U形晶体管的相反侧形成的栅极线,其中所述栅极线基本垂直于所述沟槽;和
导电栅极材料,其中所述导电栅极材料基本填充所述沟槽并且与所述栅极线电连接。
41.一种半导体结构,其包含:
多列突部,其中每一个突部包含源极、漏极和沟道;
将所述列相互隔开的多条字线间隙;和
在所述字线间隙中形成的多条栅极线,每一条栅极线至少部分环绕所述列之一。
42.权利要求41所述的半导体结构,其中每一个突部与所述列之一中的邻近突部由支承所述多个突部的半导体衬底中的深沟槽隔开。
43.权利要求42所述的半导体结构,其中每一个突部包含具有第一支柱和第二支柱的U形构造,第一支柱与第二支柱由浅沟槽隔开,并且第一支柱通过从所述半导体衬底中延伸出来的沟道底部部分连接到第二支柱上。
44.权利要求43所述的半导体器件,其中第一支柱包含第一源极/漏极区,并且第二支柱包含第二源极/漏极区。
45.一种电子器件,其包含:
至少一个U形半导体结构,所述U形半导体结构具有通过端壁连接的在相反侧的第一U形表面和第二U形表面,其中第一U形表面与第二U形表面基本平行,所述U形半导体结构包含第一源极/漏极区和第二源极/漏极区;
沿着第一U形表面形成的第一沟道;
沿着第二U形表面形成的第二沟道;
面向两个U形表面的栅极线;和
直接邻近每一个端壁的场隔离元件。
46.权利要求45所述的器件,其还包含:
电连接到第一源极/漏极区上的存储器存储器件;和
电连接到第二源极/漏极区上的位线。
47.一种形成存储单元的方法,所述方法包括:
蚀刻半导体衬底以形成至少一个具有第一U形表面和第二U形表面的U形晶体管,其中第一U形表面与第二U形表面基本平行,所述U形晶体管包含第一源极/漏极区、第二源极/漏极区和栅极线,其中在所述半导体衬底中形成第一源极/漏极区和第二源极/漏极区;
在所述半导体衬底中,沿着第一U形表面形成第一沟道;
在所述半导体衬底中,沿着第二U形表面形成第二沟道;和
形成面向第一沟道和第二沟道中的每一个的栅极线。
48.权利要求47所述的方法,所述方法还包括:
将存储器存储器件电连接到第一源极/漏极区上;和
将位线电连接到第二源极/漏极区上。
49.权利要求47所述的U形晶体管,其中所述U形晶体管包含DRAM的一部分。
50.一种形成半导体结构的方法,所述方法包括:
在半导体衬底中蚀刻一组字线沟槽;
在半导体衬底中蚀刻一组深沟槽,所述深沟槽组与所述字线沟槽组交叉并且形成网格,其中所述字线沟槽组和所述深沟槽组限定所述半导体衬底中的多个突部;
在每一个突部中限定重掺杂区和轻掺杂区;
将栅极材料沉积到所述字线沟槽组中;和
隔体蚀刻所述栅极材料以限定在所述突部的侧壁上的栅极电极。
51.权利要求50所述的方法,其中只在所述突部的相反侧壁上形成所述栅极电极。
52.权利要求50所述的方法,所述方法还包括在每一个突部中蚀刻浅沟槽以形成包含第一支柱、第二支柱和底部的U形突部,其中所述浅沟槽与所述深沟槽组基本平行。
53.权利要求52所述的方法,其中所述重掺杂区在所述支柱的顶部形成源极/漏极区,并且所述轻掺杂区形成从所述支柱的下部延伸并且跨过底部的U形沟道。
54.权利要求52所述的方法,其中限定掺杂区包括在蚀刻之前掺杂所述半导体衬底。
55.一种半导体结构,其包含:
半导体衬底;
被蚀刻到所述半导体衬底中的一组字线沟槽和一组深沟槽环绕的U形突部,所述U形突部包含第一支柱和第二支柱,其中第一支柱和第二支柱由延伸到所述半导体衬底中的一组浅沟槽中的浅沟槽隔开,并且其中第一支柱和第二支柱通过在所述环绕的沟槽上方延伸的槽脊连接;
在第一支柱的顶部形成的第一源极/漏极区;
在第二支柱的顶部形成的第二源极/漏极区;和
在所述字线沟槽组中形成的栅极结构;
其中所述槽脊以及第一支柱和第二支柱的下部限定在所述U形突部的相反侧的U形沟道,其中所述U形沟道面向在所述字线沟槽组中形成的所述栅极结构。
56.权利要求55所述的半导体结构,其中使用绝缘材料填充所述浅沟槽以形成两面U形晶体管。
57.权利要求55所述的半导体结构,其中使用栅极电极材料填充所述浅沟槽以形成三面U形晶体管。
CNA2005800356369A 2004-09-01 2005-08-30 具有垂直u形晶体管的dram单元 Pending CN101044615A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/933,062 US7442976B2 (en) 2004-09-01 2004-09-01 DRAM cells with vertical transistors
US10/933,062 2004-09-01

Publications (1)

Publication Number Publication Date
CN101044615A true CN101044615A (zh) 2007-09-26

Family

ID=35589559

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800356369A Pending CN101044615A (zh) 2004-09-01 2005-08-30 具有垂直u形晶体管的dram单元

Country Status (6)

Country Link
US (6) US7442976B2 (zh)
EP (1) EP1794791B1 (zh)
JP (1) JP5176180B2 (zh)
KR (1) KR101038870B1 (zh)
CN (1) CN101044615A (zh)
WO (1) WO2006028777A1 (zh)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101952957A (zh) * 2008-02-19 2011-01-19 美光科技公司 具有本地数据线的存储器装置及其制造和操作方法
CN102150253A (zh) * 2008-09-11 2011-08-10 美光科技公司 自对准沟槽的形成方法
CN101952948B (zh) * 2008-02-19 2012-10-03 美光科技公司 包含耐栅极短路的鳍式晶体管的装置及其制作方法
CN102760681A (zh) * 2011-04-25 2012-10-31 南亚科技股份有限公司 组件内隔离结构的制造方法
CN102792429A (zh) * 2010-03-09 2012-11-21 美光科技公司 形成存储器单元阵列的方法、形成多个场效应晶体管的方法、形成源极/漏极区域及隔离沟槽的方法及在衬底中形成一系列间隔沟槽的方法
CN101952958B (zh) * 2008-02-19 2013-11-13 美光科技公司 包括鳍式晶体管的系统及装置以及其使用、制作及操作方法
CN103762216A (zh) * 2008-04-03 2014-04-30 美光科技公司 具有驱动器的数据单元及其制造方法和操作方法
CN101960572B (zh) * 2008-03-06 2014-05-14 美光科技公司 具有空腔界定栅极的装置及其制造方法
CN101783348B (zh) * 2009-01-19 2014-10-15 三星电子株式会社 半导体存储器器件和制造半导体器件的方法
US9443756B2 (en) 2013-05-29 2016-09-13 Micron Technology, Inc. Methods of forming a substrate opening
CN107170744A (zh) * 2017-04-28 2017-09-15 中国科学院微电子研究所 一种闪存单元器件及闪存
CN107833889A (zh) * 2017-11-24 2018-03-23 长江存储科技有限责任公司 3d nand闪存的台阶接触孔的构建方法
CN108987331A (zh) * 2017-06-03 2018-12-11 联华电子股份有限公司 半导体结构以及其制作方法
CN110349906A (zh) * 2018-04-03 2019-10-18 长鑫存储技术有限公司 一种自对准沟槽的形成方法
CN110383477A (zh) * 2017-06-26 2019-10-25 美光科技公司 具有与存取装置耦合的主体连接线的设备
CN110400589A (zh) * 2014-01-22 2019-11-01 美光科技公司 具有垂直存储器单元串及支持电路的方法及设备
WO2023272880A1 (zh) * 2021-07-02 2023-01-05 芯盟科技有限公司 晶体管阵列及其制造方法、半导体器件及其制造方法
WO2023035528A1 (zh) * 2021-09-07 2023-03-16 长鑫存储技术有限公司 半导体结构及其制备方法

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7285466B2 (en) * 2003-08-05 2007-10-23 Samsung Electronics Co., Ltd. Methods of forming metal oxide semiconductor (MOS) transistors having three dimensional channels
US7098105B2 (en) * 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US7271464B2 (en) * 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7547945B2 (en) * 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7442976B2 (en) * 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7316952B2 (en) * 2005-05-31 2008-01-08 Nanya Technology Corporation Method for forming a memory device with a recessed gate
US7316953B2 (en) * 2005-05-31 2008-01-08 Nanya Technology Corporation Method for forming a recessed gate with word lines
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7888721B2 (en) * 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7867851B2 (en) * 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7696567B2 (en) * 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
KR100688576B1 (ko) * 2005-10-14 2007-03-02 삼성전자주식회사 수직채널 트랜지스터를 갖는 반도체 메모리 장치 및 그제조방법
KR100675288B1 (ko) * 2005-11-04 2007-01-29 삼성전자주식회사 다중 채널 트랜지스터들을 갖는 반도체 소자의 제조방법들및 그에 의해 제조된 반도체 소자들
US7700441B2 (en) * 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7476933B2 (en) * 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) * 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7459390B2 (en) * 2006-03-20 2008-12-02 Texas Instruments Incorporated Method for forming ultra thin low leakage multi gate devices
US8501581B2 (en) * 2006-03-29 2013-08-06 Micron Technology, Inc. Methods of forming semiconductor constructions
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) * 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) * 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7745319B2 (en) * 2006-08-22 2010-06-29 Micron Technology, Inc. System and method for fabricating a fin field effect transistor
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7825460B2 (en) * 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof
US7589995B2 (en) * 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US20080061363A1 (en) * 2006-09-08 2008-03-13 Rolf Weis Integrated transistor device and corresponding manufacturing method
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8643087B2 (en) * 2006-09-20 2014-02-04 Micron Technology, Inc. Reduced leakage memory cells
DE102006048960B4 (de) * 2006-10-17 2016-12-15 Texas Instruments Deutschland Gmbh Verfahren zur Herstellung von Isolationsstrukturen mit integrierten tiefen und flachen Gräben
WO2008048985A2 (en) * 2006-10-17 2008-04-24 Texas Instruments Incorporated Method of manufacturing integrated deep and shallow trench isolation structures
US7521348B2 (en) * 2006-10-23 2009-04-21 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device having fine contact holes
KR100843713B1 (ko) 2006-10-23 2008-07-04 삼성전자주식회사 미세 콘택홀을 갖는 반도체소자의 제조방법
KR100834440B1 (ko) * 2006-11-10 2008-06-04 삼성전자주식회사 반도체 소자의 형성방법
US20080113483A1 (en) * 2006-11-15 2008-05-15 Micron Technology, Inc. Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
US7859050B2 (en) * 2007-01-22 2010-12-28 Micron Technology, Inc. Memory having a vertical access device
US20080277738A1 (en) * 2007-05-08 2008-11-13 Venkat Ananthan Memory cells, memory banks, memory arrays, and electronic systems
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8143156B2 (en) * 2007-06-20 2012-03-27 Sandisk Technologies Inc. Methods of forming high density semiconductor devices using recursive spacer technique
KR100886004B1 (ko) 2007-07-02 2009-03-03 삼성전자주식회사 반도체 소자 제조 방법
US7879659B2 (en) * 2007-07-17 2011-02-01 Micron Technology, Inc. Methods of fabricating semiconductor devices including dual fin structures
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US7902057B2 (en) * 2007-07-31 2011-03-08 Micron Technology, Inc. Methods of fabricating dual fin structures
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
TW200910533A (en) * 2007-08-22 2009-03-01 Promos Technologies Inc Method for preparing flash memory
JP5614915B2 (ja) * 2007-09-27 2014-10-29 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置、半導体装置の製造方法並びにデータ処理システム
US20090087993A1 (en) * 2007-09-28 2009-04-02 Steven Maxwell Methods and apparatus for cost-effectively increasing feature density using a mask shrinking process with double patterning
US7737039B2 (en) * 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) * 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7846812B2 (en) * 2007-12-18 2010-12-07 Micron Technology, Inc. Methods of forming trench isolation and methods of forming floating gate transistors
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
KR100912965B1 (ko) * 2007-12-24 2009-08-20 주식회사 하이닉스반도체 수직 채널 트랜지스터를 구비한 반도체 소자의 제조 방법
US8546876B2 (en) * 2008-03-20 2013-10-01 Micron Technology, Inc. Systems and devices including multi-transistor cells and methods of using, making, and operating the same
US7808042B2 (en) * 2008-03-20 2010-10-05 Micron Technology, Inc. Systems and devices including multi-gate transistors and methods of using, making, and operating the same
US8030218B2 (en) * 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) * 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US8026571B2 (en) * 2008-05-29 2011-09-27 United Microelectronics Corp. Semiconductor-device isolation structure
US7824983B2 (en) 2008-06-02 2010-11-02 Micron Technology, Inc. Methods of providing electrical isolation in semiconductor structures
JP2009295785A (ja) * 2008-06-05 2009-12-17 Toshiba Corp 半導体装置の製造方法
US8076208B2 (en) * 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
KR100955164B1 (ko) 2008-07-04 2010-04-28 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
JP2010161137A (ja) * 2009-01-07 2010-07-22 Hitachi Ltd 半導体記憶装置の製造方法
KR101528817B1 (ko) * 2009-01-09 2015-06-16 삼성전자주식회사 반도체 메모리 소자 및 그 제조 방법
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
JP4577592B2 (ja) * 2009-04-20 2010-11-10 日本ユニサンティスエレクトロニクス株式会社 半導体装置の製造方法
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8610240B2 (en) * 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8481396B2 (en) * 2009-10-23 2013-07-09 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8551855B2 (en) * 2009-10-23 2013-10-08 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8872247B2 (en) 2009-11-04 2014-10-28 Micron Technology, Inc. Memory cells having a folded digit line architecture
US8003482B2 (en) * 2009-11-19 2011-08-23 Micron Technology, Inc. Methods of processing semiconductor substrates in forming scribe line alignment marks
US8551850B2 (en) * 2009-12-07 2013-10-08 Sandisk 3D Llc Methods of forming a reversible resistance-switching metal-insulator-metal structure
US8389375B2 (en) * 2010-02-11 2013-03-05 Sandisk 3D Llc Memory cell formed using a recess and methods for forming the same
US8237146B2 (en) * 2010-02-24 2012-08-07 Sandisk 3D Llc Memory cell with silicon-containing carbon switching layer and methods for forming the same
US20110210306A1 (en) * 2010-02-26 2011-09-01 Yubao Li Memory cell that includes a carbon-based memory element and methods of forming the same
US9202921B2 (en) * 2010-03-30 2015-12-01 Nanya Technology Corp. Semiconductor device and method of making the same
US8471360B2 (en) 2010-04-14 2013-06-25 Sandisk 3D Llc Memory cell with carbon switching material having a reduced cross-sectional area and methods for forming the same
US8816409B2 (en) * 2010-07-15 2014-08-26 United Microelectronics Corp. Metal-oxide semiconductor transistor
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8435859B2 (en) 2011-02-16 2013-05-07 Micron Technology, Inc. Methods of forming electrical contacts
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9385132B2 (en) 2011-08-25 2016-07-05 Micron Technology, Inc. Arrays of recessed access devices, methods of forming recessed access gate constructions, and methods of forming isolation gate constructions in the fabrication of recessed access devices
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US10438836B2 (en) 2011-11-09 2019-10-08 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing a semiconductor device
US8759178B2 (en) 2011-11-09 2014-06-24 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
KR101902486B1 (ko) * 2012-05-16 2018-11-13 삼성전자주식회사 Mos 트랜지스터
US8829601B2 (en) 2012-05-17 2014-09-09 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US9012981B2 (en) 2012-05-17 2015-04-21 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US9166043B2 (en) 2012-05-17 2015-10-20 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US8877578B2 (en) 2012-05-18 2014-11-04 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
US8697511B2 (en) 2012-05-18 2014-04-15 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8946050B2 (en) * 2012-10-30 2015-02-03 Globalfoundries Inc. Double trench well formation in SRAM cells
US9018691B2 (en) * 2012-12-27 2015-04-28 Ememory Technology Inc. Nonvolatile memory structure and fabrication method thereof
WO2014103734A1 (ja) * 2012-12-27 2014-07-03 ピーエスフォー ルクスコ エスエイアールエル 半導体装置の製造方法
US9379010B2 (en) * 2014-01-24 2016-06-28 Intel Corporation Methods for forming interconnect layers having tight pitch interconnect structures
US9281211B2 (en) * 2014-02-10 2016-03-08 International Business Machines Corporation Nanoscale interconnect structure
CN106463508A (zh) * 2014-04-01 2017-02-22 英派尔科技开发有限公司 具有闪络保护的垂直晶体管
US9293343B2 (en) * 2014-07-02 2016-03-22 Samsung Electronics Co., Ltd. Method of forming patterns of semiconductor device
US9406750B2 (en) 2014-11-19 2016-08-02 Empire Technology Development Llc Output capacitance reduction in power transistors
US9564500B2 (en) 2015-06-30 2017-02-07 International Business Machines Corporation Fully-depleted SOI MOSFET with U-shaped channel
US9627378B2 (en) 2015-06-30 2017-04-18 International Business Machines Corporation Methods of forming FINFETs with locally thinned channels from fins having in-situ doped epitaxial cladding
CN106711213B (zh) * 2015-07-20 2021-02-26 联华电子股份有限公司 半导体元件及其制作方法
US9524974B1 (en) * 2015-07-22 2016-12-20 Sandisk Technologies Llc Alternating sidewall assisted patterning
US9627478B1 (en) 2015-12-10 2017-04-18 International Business Machines Corporation Integrated vertical nanowire memory
US10002962B2 (en) 2016-04-27 2018-06-19 International Business Machines Corporation Vertical FET structure
US9847337B1 (en) 2016-12-27 2017-12-19 Micron Technology, Inc. Memory arrays comprising ferroelectric capacitors
US9773728B1 (en) * 2016-12-27 2017-09-26 Micron Technology, Inc. Memory arrays
WO2018208285A1 (en) * 2017-05-09 2018-11-15 Intel Corporation Transistor arrangements with uneven gate-drain surfaces
JP7274148B2 (ja) 2017-07-19 2023-05-16 グローバルウェーハズ・ジャパン株式会社 三次元構造体の製造方法、縦型トランジスタの製造方法、および縦型トランジスタ用基板
US20190172920A1 (en) * 2017-12-06 2019-06-06 Nanya Technology Corporation Junctionless transistor device and method for preparing the same
US10439047B2 (en) * 2018-02-14 2019-10-08 Applied Materials, Inc. Methods for etch mask and fin structure formation
CN108520876B (zh) * 2018-06-26 2023-07-11 长鑫存储技术有限公司 集成电路存储器及其制备方法、半导体器件
CN110828460B (zh) * 2018-08-14 2022-07-19 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
US10658481B1 (en) 2018-10-29 2020-05-19 International Business Machines Corporation Self-aligned gate cut in direct stacked vertical transport field effect transistor (VTFET)
US10892188B2 (en) * 2019-06-13 2021-01-12 Semiconductor Components Industries, Llc Self-aligned trench MOSFET contacts having widths less than minimum lithography limits
US11257766B1 (en) 2020-08-21 2022-02-22 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices, memory devices, and electronic systems
CN113506737B (zh) * 2021-04-20 2023-07-14 芯盟科技有限公司 柱形晶体管及其制造方法、半导体器件及其制造方法
US11749744B2 (en) 2021-06-08 2023-09-05 International Business Machines Corporation Fin structure for vertical transport field effect transistor

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US673030A (en) * 1900-08-30 1901-04-30 Andrew C Rowe Barrel-roller.
US4234362A (en) * 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) * 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (de) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
US4984039A (en) * 1985-05-03 1991-01-08 Texas Instruments Incorporated Tapered trench structure and process
JPS6245058A (ja) 1985-08-22 1987-02-27 Nec Corp 半導体装置およびその製造方法
US4648937A (en) * 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4838991A (en) * 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US4776922A (en) * 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US4992838A (en) * 1988-02-29 1991-02-12 Texas Instruments Incorporated Vertical MOS transistor with threshold voltage adjustment
FR2633101B1 (fr) * 1988-06-16 1992-02-07 Commissariat Energie Atomique Photodiode et matrice de photodiodes sur hgcdte et leurs procedes de fabrication
US5012306A (en) 1989-09-22 1991-04-30 Board Of Regents, The University Of Texas System Hot-carrier suppressed sub-micron MISFET device
JPH03155165A (ja) 1989-11-14 1991-07-03 Toshiba Corp 半導体装置およびその製造方法
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5139753A (en) * 1991-04-08 1992-08-18 Ari Technologies, Inc. Continuous process for mass transfer of a liquid reagent with two different gases
US5208172A (en) * 1992-03-02 1993-05-04 Motorola, Inc. Method for forming a raised vertical transistor
US5315142A (en) * 1992-03-23 1994-05-24 International Business Machines Corporation High performance trench EEPROM cell
US5640034A (en) 1992-05-18 1997-06-17 Texas Instruments Incorporated Top-drain trench based resurf DMOS transistor structure
JPH0677480A (ja) * 1992-08-24 1994-03-18 Hitachi Ltd 半導体装置
JPH06112481A (ja) 1992-09-28 1994-04-22 Yokogawa Electric Corp Mosトランジスタの製造方法
US5319753A (en) * 1992-09-29 1994-06-07 Zilog, Inc. Queued interrupt mechanism with supplementary command/status/message information
JP3311070B2 (ja) * 1993-03-15 2002-08-05 株式会社東芝 半導体装置
JPH06318680A (ja) * 1993-05-10 1994-11-15 Nec Corp 半導体記憶装置およびその製造方法
JP3403231B2 (ja) 1993-05-12 2003-05-06 三菱電機株式会社 半導体装置およびその製造方法
KR970009054B1 (ko) * 1993-12-29 1997-06-03 현대전자산업 주식회사 평면구조 모스 트랜지스터 및 그 제조방법
JP3745392B2 (ja) 1994-05-26 2006-02-15 株式会社ルネサステクノロジ 半導体装置
US5405794A (en) * 1994-06-14 1995-04-11 Philips Electronics North America Corporation Method of producing VDMOS device of increased power density
US5583065A (en) * 1994-11-23 1996-12-10 Sony Corporation Method of making a MOS semiconductor device
JP2692639B2 (ja) 1995-03-10 1997-12-17 日本電気株式会社 不揮発性半導体記憶装置の製造方法
JPH09293793A (ja) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5817560A (en) * 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US5679591A (en) * 1996-12-16 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd Method of making raised-bitline contactless trenched flash memory cell
US5874760A (en) * 1997-01-22 1999-02-23 International Business Machines Corporation 4F-square memory cell having vertical floating-gate transistors with self-aligned shallow trench isolation
US5981333A (en) 1997-02-11 1999-11-09 Micron Technology, Inc. Methods of forming capacitors and DRAM arrays
US6214727B1 (en) 1997-02-11 2001-04-10 Micron Technology, Inc. Conductive electrical contacts, capacitors, DRAMs, and integrated circuitry, and methods of forming conductive electrical contacts, capacitors, DRAMs, and integrated circuitry
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6288431B1 (en) * 1997-04-04 2001-09-11 Nippon Steel Corporation Semiconductor device and a method of manufacturing the same
US5973356A (en) 1997-07-08 1999-10-26 Micron Technology, Inc. Ultra high density flash memory
DE59814170D1 (de) * 1997-12-17 2008-04-03 Qimonda Ag Speicherzellenanordnung und Verfahren zu deren Herstellung
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) * 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
US6246083B1 (en) * 1998-02-24 2001-06-12 Micron Technology, Inc. Vertical gain cell and array for a dynamic random access memory
JPH11261056A (ja) 1998-03-12 1999-09-24 Toshiba Corp 半導体装置及びその製造方法
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6191444B1 (en) * 1998-09-03 2001-02-20 Micron Technology, Inc. Mini flash process and circuit
US6319782B1 (en) * 1998-09-10 2001-11-20 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of fabricating the same
US6071789A (en) * 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US6271141B2 (en) 1999-03-23 2001-08-07 Micron Technology, Inc. Methods of forming materials over uneven surface topologies, and methods of forming insulative materials over and between conductive lines
US6159801A (en) * 1999-04-26 2000-12-12 Taiwan Semiconductor Manufacturing Company Method to increase coupling ratio of source to floating gate in split-gate flash
DE19928781C1 (de) * 1999-06-23 2000-07-06 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JP2001036081A (ja) 1999-07-26 2001-02-09 Fuji Electric Co Ltd 半導体装置
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
EP1292989A1 (en) * 2000-05-10 2003-03-19 Koninklijke Philips Electronics N.V. A semiconductor device
KR100370129B1 (ko) * 2000-08-01 2003-01-30 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
SE517275C2 (sv) 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
US6391720B1 (en) * 2000-09-27 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US6340614B1 (en) * 2000-10-03 2002-01-22 Vanguard International Semiconductor Corporation Method of forming a DRAM cell
US6483154B1 (en) 2000-10-05 2002-11-19 Advanced Micro Devices, Inc. Nitrogen oxide plasma treatment for reduced nickel silicide bridging
US6562665B1 (en) 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
JP2002203913A (ja) * 2000-12-28 2002-07-19 Hitachi Ltd 半導体記憶装置の製造方法および半導体記憶装置
US6424001B1 (en) 2001-02-09 2002-07-23 Micron Technology, Inc. Flash memory with ultra thin vertical body transistors
US6531727B2 (en) 2001-02-09 2003-03-11 Micron Technology, Inc. Open bit line DRAM with ultra thin body transistors
US6597203B2 (en) 2001-03-14 2003-07-22 Micron Technology, Inc. CMOS gate array with vertical transistors
US7176109B2 (en) * 2001-03-23 2007-02-13 Micron Technology, Inc. Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US6548347B2 (en) 2001-04-12 2003-04-15 Micron Technology, Inc. Method of forming minimally spaced word lines
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6709929B2 (en) 2001-06-25 2004-03-23 North Carolina State University Methods of forming nano-scale electronic and optoelectronic devices using non-photolithographically defined nano-channel templates
US6737333B2 (en) 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
JP2003031686A (ja) 2001-07-16 2003-01-31 Sony Corp 半導体記憶装置およびその製造方法
TW497138B (en) * 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
JP4865166B2 (ja) 2001-08-30 2012-02-01 新電元工業株式会社 トランジスタの製造方法、ダイオードの製造方法
US7045859B2 (en) * 2001-09-05 2006-05-16 International Rectifier Corporation Trench fet with self aligned source and contact
JP4870288B2 (ja) * 2001-09-11 2012-02-08 シャープ株式会社 半導体装置およびその製造方法と集積回路と半導体システム
JP2003133437A (ja) * 2001-10-24 2003-05-09 Hitachi Ltd 半導体装置の製造方法および半導体装置
JP2003168749A (ja) * 2001-12-03 2003-06-13 Hitachi Ltd 不揮発性半導体記憶装置及びその製造方法
JP2003309192A (ja) 2002-04-17 2003-10-31 Fujitsu Ltd 不揮発性半導体メモリおよびその製造方法
US6806123B2 (en) 2002-04-26 2004-10-19 Micron Technology, Inc. Methods of forming isolation regions associated with semiconductor constructions
US6932870B2 (en) * 2002-05-03 2005-08-23 Kimberly-Clark Worldwide, Inc. System and process for dispensing an adhesive onto a core during the formation of rolled products
US6951709B2 (en) * 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6900521B2 (en) * 2002-06-10 2005-05-31 Micron Technology, Inc. Vertical transistors and output prediction logic circuits containing same
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
KR100476924B1 (ko) 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6777725B2 (en) * 2002-06-14 2004-08-17 Ingentix Gmbh & Co. Kg NROM memory circuit with recessed bitline
US20030235076A1 (en) * 2002-06-21 2003-12-25 Micron Technology, Inc. Multistate NROM having a storage density much greater than 1 Bit per 1F2
US6835663B2 (en) * 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6734063B2 (en) 2002-07-22 2004-05-11 Infineon Technologies Ag Non-volatile memory cell and fabrication method
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US6888187B2 (en) * 2002-08-26 2005-05-03 International Business Machines Corporation DRAM cell with enhanced SER immunity
US6804142B2 (en) * 2002-11-12 2004-10-12 Micron Technology, Inc. 6F2 3-transistor DRAM gain cell
US7304336B2 (en) 2003-02-13 2007-12-04 Massachusetts Institute Of Technology FinFET structure and method to make the same
DE10306281B4 (de) * 2003-02-14 2007-02-15 Infineon Technologies Ag Anordnung und Verfahren zur Herstellung von vertikalen Transistorzellen und transistorgesteuerten Speicherzellen
US6956256B2 (en) * 2003-03-04 2005-10-18 Micron Technology Inc. Vertical gain cell
KR100521381B1 (ko) * 2003-06-25 2005-10-12 삼성전자주식회사 모오스 전계 효과 트랜지스터의 제조 방법
US7050330B2 (en) * 2003-12-16 2006-05-23 Micron Technology, Inc. Multi-state NROM device
DE10361695B3 (de) 2003-12-30 2005-02-03 Infineon Technologies Ag Transistorstruktur mit gekrümmtem Kanal, Speicherzelle und Speicherzellenfeld für DRAMs sowie Verfahren zur Herstellung eines DRAMs
US7098105B2 (en) * 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
DE102004036461A1 (de) 2004-07-28 2006-02-16 Infineon Technologies Ag Elektronische Datenspeichervorrichtung für hohen Lesestrom
US7442976B2 (en) * 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US20080113483A1 (en) * 2006-11-15 2008-05-15 Micron Technology, Inc. Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
JP2011198806A (ja) * 2010-03-17 2011-10-06 Toshiba Corp 半導体記憶装置及びその製造方法

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101952958B (zh) * 2008-02-19 2013-11-13 美光科技公司 包括鳍式晶体管的系统及装置以及其使用、制作及操作方法
CN101952948B (zh) * 2008-02-19 2012-10-03 美光科技公司 包含耐栅极短路的鳍式晶体管的装置及其制作方法
CN101952957A (zh) * 2008-02-19 2011-01-19 美光科技公司 具有本地数据线的存储器装置及其制造和操作方法
US8416610B2 (en) 2008-02-19 2013-04-09 Micron Technology, Inc. Systems and devices including local data lines and methods of using, making, and operating the same
CN101960572B (zh) * 2008-03-06 2014-05-14 美光科技公司 具有空腔界定栅极的装置及其制造方法
CN103762216B (zh) * 2008-04-03 2018-07-06 美光科技公司 具有驱动器的数据单元及其制造方法和操作方法
CN103762216A (zh) * 2008-04-03 2014-04-30 美光科技公司 具有驱动器的数据单元及其制造方法和操作方法
CN103400794B (zh) * 2008-09-11 2016-08-31 美光科技公司 自对准沟槽的形成方法
CN102150253A (zh) * 2008-09-11 2011-08-10 美光科技公司 自对准沟槽的形成方法
US8552526B2 (en) 2008-09-11 2013-10-08 Micron Technology, Inc. Self-aligned semiconductor trench structures
US8685859B2 (en) 2008-09-11 2014-04-01 Micron Technology, Inc. Self-aligned semiconductor trench structures
CN102150253B (zh) * 2008-09-11 2015-07-29 美光科技公司 自对准沟槽的形成方法
CN101783348B (zh) * 2009-01-19 2014-10-15 三星电子株式会社 半导体存储器器件和制造半导体器件的方法
CN102792429A (zh) * 2010-03-09 2012-11-21 美光科技公司 形成存储器单元阵列的方法、形成多个场效应晶体管的方法、形成源极/漏极区域及隔离沟槽的方法及在衬底中形成一系列间隔沟槽的方法
CN102760681B (zh) * 2011-04-25 2014-08-06 南亚科技股份有限公司 组件内隔离结构的制造方法
CN102760681A (zh) * 2011-04-25 2012-10-31 南亚科技股份有限公司 组件内隔离结构的制造方法
US9443756B2 (en) 2013-05-29 2016-09-13 Micron Technology, Inc. Methods of forming a substrate opening
CN110400589A (zh) * 2014-01-22 2019-11-01 美光科技公司 具有垂直存储器单元串及支持电路的方法及设备
CN107170744A (zh) * 2017-04-28 2017-09-15 中国科学院微电子研究所 一种闪存单元器件及闪存
CN108987331B (zh) * 2017-06-03 2019-07-09 联华电子股份有限公司 半导体结构以及其制作方法
CN108987331A (zh) * 2017-06-03 2018-12-11 联华电子股份有限公司 半导体结构以及其制作方法
US10490627B2 (en) 2017-06-03 2019-11-26 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
CN110383477A (zh) * 2017-06-26 2019-10-25 美光科技公司 具有与存取装置耦合的主体连接线的设备
CN110383477B (zh) * 2017-06-26 2022-12-20 美光科技公司 具有与存取装置耦合的主体连接线的设备
CN107833889A (zh) * 2017-11-24 2018-03-23 长江存储科技有限责任公司 3d nand闪存的台阶接触孔的构建方法
CN110349906A (zh) * 2018-04-03 2019-10-18 长鑫存储技术有限公司 一种自对准沟槽的形成方法
CN110349906B (zh) * 2018-04-03 2021-11-09 长鑫存储技术有限公司 一种自对准沟槽的形成方法
WO2023272880A1 (zh) * 2021-07-02 2023-01-05 芯盟科技有限公司 晶体管阵列及其制造方法、半导体器件及其制造方法
WO2023035528A1 (zh) * 2021-09-07 2023-03-16 长鑫存储技术有限公司 半导体结构及其制备方法

Also Published As

Publication number Publication date
KR20070057223A (ko) 2007-06-04
US20100276749A1 (en) 2010-11-04
US7772633B2 (en) 2010-08-10
US20120094449A1 (en) 2012-04-19
EP1794791A1 (en) 2007-06-13
EP1794791B1 (en) 2019-12-18
US20130140618A1 (en) 2013-06-06
US8372710B2 (en) 2013-02-12
US8097910B2 (en) 2012-01-17
US7442976B2 (en) 2008-10-28
WO2006028777A1 (en) 2006-03-16
US7482229B2 (en) 2009-01-27
US20060258109A1 (en) 2006-11-16
US20090096000A1 (en) 2009-04-16
US20060046407A1 (en) 2006-03-02
KR101038870B1 (ko) 2011-06-02
US8633529B2 (en) 2014-01-21
JP5176180B2 (ja) 2013-04-03
JP2008511997A (ja) 2008-04-17

Similar Documents

Publication Publication Date Title
CN101044615A (zh) 具有垂直u形晶体管的dram单元
TWI578525B (zh) 半導體裝置及其形成方法
TWI602225B (zh) 用於finfet的環繞矽化物
CN1324687C (zh) 半导体装置的制造方法
CN1819205A (zh) 晶体管阵列及制造垂直沟道晶体管阵列的方法
CN1270380C (zh) 半导体器件及其制造方法
CN1173396C (zh) 集成电路器件的形成方法及由该方法形成的集成电路器件
CN1237616C (zh) 具有浮置栅的半导体存储器及其制造方法
CN1292483C (zh) 半导体器件及其制造方法
CN1655364A (zh) 晶体管结构、存储单元及其阵列、及存储器制造方法
CN1925161A (zh) 半导体产品及其制作方法
CN1487599A (zh) 具有多个叠置沟道的场效应晶体管
CN1173394C (zh) 制造半导体集成电路器件的方法
CN1822349A (zh) 半导体元件之电容器与金属栅极之制造方法
CN1612348A (zh) 半导体器件及其制造方法
CN1750269A (zh) 包括多-沟道鳍形场效应晶体管的半导体器件及其制造方法
CN1961420A (zh) 半导体器件及其制造方法
CN1897305A (zh) 垂直沟道半导体器件及其制造方法
CN101051652A (zh) 半导体器件及其制造方法
CN1858900A (zh) 在存储器件中制造三沟道晶体管的方法
CN1638131A (zh) 具有阶梯状柱形结构的电容器的半导体器件及其制造方法
CN1503364A (zh) 半导体器件及其制造方法
CN101051637A (zh) 半导体器件及其制造方法
CN1855495A (zh) 具有垂直定向的栅电极的场效应晶体管及其制造方法
CN1728388A (zh) 半导体存储装置及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication