CN100517718C - 半导体元件及其制造方法 - Google Patents

半导体元件及其制造方法 Download PDF

Info

Publication number
CN100517718C
CN100517718C CNB2005100797293A CN200510079729A CN100517718C CN 100517718 C CN100517718 C CN 100517718C CN B2005100797293 A CNB2005100797293 A CN B2005100797293A CN 200510079729 A CN200510079729 A CN 200510079729A CN 100517718 C CN100517718 C CN 100517718C
Authority
CN
China
Prior art keywords
layer
electrode layer
capacitor
upper electrode
semiconductor element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2005100797293A
Other languages
English (en)
Other versions
CN1725495A (zh
Inventor
徐国基
曾国权
陈宗毅
沈建宇
陈椿瑶
李祥帆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1725495A publication Critical patent/CN1725495A/zh
Application granted granted Critical
Publication of CN100517718C publication Critical patent/CN100517718C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor

Abstract

本发明提供一种半导体元件及其制造方法,其是适用于金属栅极制程的单晶体管存储器技术,其是在制作金属栅极和嵌入在绝缘结构的MIM电容器的上电极采用同一种金属材料。一栅极介电层是和嵌入在绝缘结构的MIM电容器的电容器介电层采用相同的高介电材料。本发明所述半导体元件及其制造方法,可节省成本,且具有可图形化、低阻值、良好热可靠度、良好电流动及较少电压消耗的优点。

Description

半导体元件及其制造方法
技术领域
本发明是有关于一种半导体元件及其制造方法,特别是有关具有金属-绝缘层-金属电容器的金属栅极及其制造方法。
背景技术
一般来说,存储单元包括一金属氧化物半导体场效应晶体管(MOS-FET)作为一开关元件,其和一电容器连接以作为数字数据存取元件,此元件一般称为1T-RAM元件。一称为金属-绝缘层-金属(MIM)电容器的结构具有较佳的介面与较佳的特性,因此MIM电容器成为许多存储器技术研究的主题。Leung在0-7803-65984/00,IEEE第32至36页所发表的“The ideal SoCMemory:1T-RAM”,是在此供作参考。另外,Tsu所发明的美国专利第6096597号,Ma所发明的美国专利第6329324号,Tu所发明的美国专利第6271084号,亦在此作为参考。
MOS晶体管的栅极是为存储器元件的一部分。当半导体元件尺寸持续的缩小,需要尽可能的减少栅极线的电阻,而现有的栅极材料已经不能达到元件的需求。特别是,由于栅电极需作为源/漏极的对位,其所组成的材料具有相当的限制,例如多晶硅,其可以承受源/漏极退火的高温且避免掺杂物和其下硅基板的沟道区域反应。然而,多晶硅栅极具有多晶硅消耗、电压流失和高阻值的缺点。现所采用的金属或耐火合金供作栅电极的材料。金属材料由于具有低阻值且较可符合MOS技术的需求,具有较多晶硅为佳的特性。美国专利第6001716号“金属栅极的制造方法”,在此是供作参考,其揭示一包括多个氮化钛层的金属栅极。
发明内容
因此,本发明的实施例提供1T-RAM的元件和制造方法,可使金属栅极和MIM电容器的上电极以金属材料形成,以改进电特性和简化制程。在本发明的特定实施例的优点为,金属栅极和镶嵌在绝缘结构中的MIM电容器的上电极采用相同的金属材料。此外,栅极介电层和镶嵌在绝缘结构中的MIM电容器的电容介电采用相同的介电材料。
本发明提供一种半导体元件,所述半导体元件包括:一基板,具有一第一区域和一第二区域;至少一绝缘结构,形成在该基板的第一区域中;至少一电容器,嵌入在该绝缘结构中,其中该电容器包括一下电极层、一电容器介电层形成在该下电极层上、及一上电极层形成在该电容器介电层上;及至少一第一晶体管,形成在该基板的第二区域,其中该第一晶体管包括一第一栅极介电层和一第一栅极层形成在该第一栅极介电层上;其中该上电极层和该第一栅极层是由相同的金属材料形成,且该电容器介电层和该第一栅极介电层是由相同材料组成。
本发明所述的半导体元件,该半导体元件包括:该至少一绝缘结构包括一第一绝缘结构和一第二绝缘结构,形成在该基板的第一区域中;该至少一电容器包括一第一电容器和一第二电容器,分别嵌入该第一绝缘结构和该第二绝缘结构中;其中,该第一电容器包括一第一下电极层和一第一上电极层;其中,该第二电容器包括一第二下电极层和一第二上电极层;其中该第一上电极层是经由一穿过该第一下电极层的上部和该第二下电极层的上部的接触垫电性连接该第二上电极层;及其中该连接垫是和该第一上电极层和该第二上电极层由相同材料所组成。
本发明所述的半导体元件,该上电极层是和该第一栅极层共面。
本发明所述的半导体元件,该基板包括一存储单元区域和一外围电路区域位于该基板中的该存储单元区域外的外围位置,且该第一区域和该第二区域是定义在该存储单元区域中,且该半导体元件更包括多个第二晶体管形成在该外围电路区域和该存储单元区域中,其中该第二晶体管包括一第二栅极介电层和一第二栅极层形成在该第二栅极介电层上。
本发明所述的半导体元件,该第二栅极层和该上电极层是由相同金属材料形成,且该第二栅极介电层和该电容器介电层是由相同介电材料形成。
本发明所述的半导体元件,该基板包括至少一绝缘结构于该存储单元阵列区域中;该基板包括至少一绝缘结构于该外围阵列区域中;且形成在该存储单元阵列区域中的绝缘结构的深度和形成在该外围阵列区域中的绝缘结构的深度相同,或形成在该存储单元阵列区域中的绝缘结构的深度大于形成在该外围电路区域中的绝缘结构的深度。
本发明所述的半导体元件,该上电极层是和该第一栅极层同时形成。
本发明所述的半导体元件,更包括:一第一沟槽绝缘结构和一第二沟槽绝缘结构,形成在该基板的第一区域中;一第一电容器和一第二电容器,分别嵌入该第一沟槽绝缘结构和该第二沟槽绝缘结构中;其中,该第一电容器包括一第一下电极层和一第一上电极层;其中,该第二电容器包括一第二下电极层和一第二上电极层;其中该第一上电极层是经由一穿过该第一下电极层的上部和该第二下电极层的上部的接触垫电性连接该第二上电极层:其中该连接垫是和该第一上电极层和该第二上电极层由相同材料所组成。
本发明另又提供一种半导体元件的制造方法,所述半导体元件的制造方法包括:提供一基板,具有一第一区域和一第二区域;形成一第一介电层于该基板上;形成一第一沟槽和一第二沟槽,穿过该第一介电层及该第一区域上该基板的一部分;形成一第一金属层,分别沿着该第一构槽和该第二构槽的侧壁和底部;形成至少一开口,穿过该第一介电层,以暴露该第二区域的该基板;形成一第二介电层,于该第一金属层、该开口的底部和侧壁上;及形成一第二金属层,于该第二介电层上,以填入该第一沟槽、该第二沟槽及该开口。
本发明所述的半导体元件的制造方法,更包括:形成至少一连接沟槽,于该第一金属层和该第一介电层中,以穿过该第一沟槽的上部及该第二沟槽的上部;其中,填入该第二金属层的步骤是于该连接沟槽中填入该第二金属层。
本发明所述的半导体元件的制造方法,更包括:形成一第一沟槽绝缘结构和一第二沟槽绝缘结构,于该第一区域的基板中;其中,该第一沟槽穿过该第一介电层和该第一沟槽绝缘结构的一部分;及其中,该第二沟槽穿过该第一介电层和该第二沟槽绝缘结构的一部分。
本发明所述的半导体元件的制造方法,更包括:平坦化该第二金属层,直到在该第一沟槽和该第二沟槽中剩余的第二金属层和开口中剩余的第二金属层共面。
本发明又提供一种半导体元件的制造方法,所述半导体元件的制造方法包括:提供一基板,包括一第一沟槽绝缘结构和一第二沟槽绝缘结构;形成至少一晶体管,于该第一沟槽绝缘结构和该第二沟槽绝缘结构外的基板上,其中该晶体管包括一仿制栅极介电层和一形成在该仿制栅极介电层上的仿制栅极层;形成一第一介电层,于该基板上以覆盖该第一沟槽绝缘结构和该第二沟槽绝缘结构,且包围该晶体管;形成一第一沟槽和一第二沟槽,穿过该第一介电层,至该第一沟槽绝缘结构和该第二沟槽绝缘结构的一部分;形成一第一金属层,分别于该第一沟槽和该第二沟槽的侧壁和底部上;移除该仿制栅极层和该仿制栅极介电层,以于该第一介电层中形成一开口;形成一第二介电层,于该基板上以覆盖该第一金属层及该开口的侧壁和底部;形成一第二金属层,于该第二介电层上以填入该第一沟槽、该第二沟槽及该开口;及移除部分的该第二金属层和第二介电层直到剩余在该第一沟槽和该第二沟槽中的第二金属层和开口中剩余的第二金属层共面;其中,开口中剩余的第二金属层是作为自对准栅极。
本发明所述的半导体元件的制造方法,更包括:形成至少一连接沟槽,于该第一金属层和该第一介电层中,以穿过该第一沟槽的上部及该第二沟槽的上部;其中,填入该第二金属层的步骤是将该连接沟槽填入该第二金属层;其中形成该晶体管包括:形成一间隙壁,沿着该仿制栅极层的侧壁;形成一源/漏极区域,侧向邻接该仿制栅极层的该基板;及其中形成该第一沟槽和该第二沟槽的步骤暴露该源/漏极区域和邻接该第一沟槽和该第二沟槽基板的部分。
本发明所述半导体元件及其制造方法,可节省成本,且具有可图形化、低阻值、良好热可靠度、良好电流动及较少电压消耗的优点。
附图说明
图1至图6、图8和图11至图14是为本发明实施例的金属栅极和嵌入式MIM电容器的剖面图;
图7、图9至图10和图15是为本发明实施例的金属栅极和嵌入式MIM电容器的三维图;
图16是为一适用于金属栅极制程的双STI设计的1T-RAM的剖面图。
具体实施方式
本发明提供适用于金属栅极制程的1T-RAM技术,其可克服上述现有技术使用多晶硅栅极的问题。特别是,本发明的金属栅极制程相容于嵌入式MIM电容器。在本发明的一实施例,金属栅极是和嵌入式MIM电容器的顶部栅极在相同的沉积和图形化过程中是为相同的材料。金属栅极和嵌入式MIM电容器的整合制程可广泛的应用在许多制造和工业上,特别是半导体元件的高频RF电路、静态存储器SRAM和随机动态存储器DRAM。
以下将以图1至图6、图8和图11至图14的剖面图及图7、图9至图10和图15的三维图揭示本发明实施例的1T-RAM技术结合金属栅极制程。
请参照图1。一基板10具有一存储单元阵列区域12和周边电路区域14,其分别以浅沟槽结构(STI)16、18隔绝。在以下所揭示中,基板10可为任何支撑结构,例如半导体基板、半导体晶圆或是任何包括半导体层的结构。存储单元阵列区域12是供作1T-RAM单元应用,而外围区域14是为用做I/O电路的逻辑区域。在一实施例中,存储单元阵列区域12上的STI结构16的深度d1是相当于外围区域STI结构18的深度d2。d1可介于约2500~4500埃,而d2可介于约2500~4500埃。STI结构16、18可由下列方法形成:首先,在基板中蚀刻以形成沟槽。其后,沉积隔绝材料(例如氧化物、氮化物或其组合)于沟槽中。后续,磨除多余的隔绝材料及平坦化沟槽以供之后制程进行。
如下所述,仿制晶体管是形成在存储单元阵列区域12和周边电路区域14上。在一实施例中,仿制栅极22、24是形成在相对应的仿制栅极介电层20上,且进行整合制程以形成间隙壁26、轻掺杂区LDD 28、源/漏极区30和金属硅化物层34。用以形成仿制栅极22、24的材料包括例如多晶硅、金属、金属合金和其它导电材料。此外,罩幕材料一般是用作离子布植对准,其包括SiN、SiON或SiC,此种材料亦可用作形成仿制栅极层22、24。仿制栅极介电层20可包括氧化硅、氮化硅、氮氧化硅、碳化硅或其它适合介电材料。间隙壁26可包括例如:氧化硅、氮化硅、氮氧化硅或上述的组合。金属硅化物层34可包括WSi、CoSi、NiSi或TiSi。图1所示的晶体管可采用现有的方法制造,在此不详细描述。
如图2所示,在基板10上沉积一第一介电层36以填入相邻仿制晶体管间的区域,其可使用低压化学气相沉积法(LPCVD)、常压化学气相沉积法(APCVD)、等离子化学气相沉积法(PECVD)、旋转涂布或是更先进的沉积技术。第一介电层36可包括氧化硅、氮化硅、氮氧化硅、低介电材料或上述的组合。之后,进行一非等向性蚀刻制程,例如:回蚀刻制程或是化学机械研磨制程(CMP),以移除第一介电层36多余的部分,直到第一介电层36和仿制栅极层22、24的表面约略切齐。
如图3和图4所示,通过微影、罩幕和干蚀刻技术,可蚀刻形成电容器沟槽于存储单元阵列区域12的STI结构16中。第一光致抗蚀剂层38是位于第一介电层36上,以完全覆盖外围电路区域14,但仅覆盖部分存储单元阵列区域12。更详细的,第一光致抗蚀剂层38具有第一开口39,以定义相对应的存储单元阵列区域12上的电容器沟槽图案。之后,进行干蚀刻制程,例如:反应离子蚀刻(RIE),以形成电容器沟槽40,如图4所示。移除位于第一开口39下的部分的第一介电层36和STI结构16直到STI结构的底部厚度T约为500~3000埃。后续移除第一介电层36上的第一光致抗蚀剂层38。因此,电容器沟槽40暴露STI结构16和邻近源/漏极区域30基板10的一部分。电容器沟槽40开口的直径是依产品需求和设计而决定。
经由金属沉积和回蚀刻技术,一下电极42a是形成在电容器沟槽40的底部和侧壁上,如图5和图6所示。请参照图5,通过顺应性沉积,第一金属层42是顺应性的形成在基板10上。第一金属层42可包括单一金属层、双层金属堆叠层或是多层金属堆叠层,并且其可由下列材料组成:W WN、Ti、TiW、TiN、Ta、TaN、Al、Cu、Mo或其组合。上述的沉积方法可包括化学气相沉积法CVD、物理气相沉积法PVD、原子层沉积法ALD或其组合。第一金属层42的沉积条件可在电容器沟槽40侧壁上连续的沉积,而其不影响沉积中或其后的电子特性。第一金属层42的厚度可介于50~500埃。请参照图6,采用CMP或是回蚀刻技术,以移除电容器沟槽40外的第一金属层42,因此仅保留电容器沟槽40侧壁和底部的第一金属层42,以作为一下电极42a。图7是为一三维图,其揭示形成在相对应的电容器沟槽40的下电极42a阵列。图6是沿图7的6-6剖面线的剖面图。
将下电极42a予以图形化之后,进行一微沟槽制程以使相邻电容器连接,如图8至图11所示。图8是沿图9的8-8剖面线的剖面图。图11是沿图10的11-11剖面线的剖面图。
请参照图8和图9,一第二光致抗蚀剂层44是形成在基板10上,其提供一第二开口45以在存储单元阵列区域12上定义至少一微沟槽图案。在一实施例中,每一下电极42a包括两非连续部分42b和42c,且第二开口45暴露两非连续部分42b和42c和其间的第一介电层36,如此在相邻电容器间形成第一连接路径。第二开口45的剖面和大小是依照MIM电容器的电连接路径。第二开口45可以为长条型、弧状、锯齿状或是其它平行、垂直、非相交或交错的开口。在如图9所示的实施例中,第二开口45包括长条型开口且每一长条型开口穿过多个电容器沟槽40,且长条型开口是沿仿制栅极层22的方向延伸。之后,如图10所示,进行干蚀刻制程(例如反应离子蚀刻法或是其它蚀刻制程),以使微构槽图案43形成在非连续部分(42b和42c)和其间的第一介电层36,至一预定深度d4和预定宽度w。之后,移除基板10上的第二光致抗蚀剂层44。深度d4可介于0.05埃~0.3埃,且宽度w可介于100埃~1500埃。微沟槽图案43的尺寸和轮廓和数量是依照产品需求和制程限制条件而决定。形成微沟槽图案43的目的是为形成在后续制程中完成一连接构槽以跨越相邻上电极的上部部分。
在形成MIM电容器的电容器介电层和上电极之前,是通过微影、罩幕、干蚀刻或是其它选择性蚀刻制程(其是依照所蚀刻的层22、24和20所决定),以成功的从存储单元阵列区域12和周边电路区域14移除仿制栅极层22、24和仿制栅极介电层20。因此,如图12所示,形成由间隙壁26和第一介电层36包围的第三开口46以暴露基板10。第三开口46是定义在存储单元阵列区域12和周边电路区域14上的自对准金属栅极。
图13至图15是揭示包括电容介电层和上电极的MIM电容器制程。如图13所示,一第二介电层48是顺应性的沉积在基板10上,其可采用以下的沉积技术,例如:CVD、LPCVD、APCVD、PECVD或ALD。特别是,第二介电层48覆盖下电极42a、微沟槽图案43和第三开口46。用以形成第三介电层48的材料包括高介电材料。高介电一般是指介电常数高于4,较佳者约介于8~50。高介电材料可包括双金属氧化物例如:Ta2O5、HfO2、Al2O3 InO2、La2O3、ZrO2、TaO2;铝酸盐、硅化物、上述双金属氧化物的氮氧化物、钛钙型氧化物或上述的组合。第二介电层48的厚度较佳为10~250埃。较佳者,第二介电层48是为Ta2O5层。
在第二介电层48上形成第二金属层50,以填满第三开口46、电容器沟槽40和微沟槽图案43。第二金属层50可以是单一金属层、双金属结构或多金属结构,其可为W、WNx、Ti、TiWx、TiNx、Ta、TaNx、Mo、Al、Cu或其组合。上述的x可依栅极的功函数调整。此外,第二金属层50可采用CVD、PVD、电化学电镀、蒸镀、溅镀、反应共溅镀或上述的组合的沉积方法形成,但本发明不限于此。在较佳实施例中,第二金属层50是为TiN/W/Cu的多层结构。在另一较佳实施例中,第二金属层50是为TiN/W的双层结构。
如图14所示,进行一回蚀刻或是CMP制程,以移除部分的第二金属层50和第二介电层48,其持续到暴露第一介电层36的顶部,使第一介电层36和第二金属层50的表面切齐。因此,在电容器沟槽40中剩下的第二金属层50的一部分50a是作为上电极50a,且在下电极42a和上电极50a间的第二介电层48的一部分48a是供作电容介电层48a。因此,在相对应的STI结构16中形成MIM电容器52,其称为嵌入在绝缘结构中的MIM电容器。此外,在第三开口46中的第二金属层的部分50I和50II是分别供作自对准金属栅极50I和50II。围绕金属栅极50I和50II的第二介电层48的部分48”是分别供作栅极介电。因此,在存储单元阵列区域12和周边电路区域14,分别完成金属栅极50I和50II和高介电栅极介电48”。此外,在微沟槽图案43中的部分50b是作为连接垫50b,其可连接相邻上电极50a的上部,如图15所示。
图15是为一三维图,其揭示相邻MIM电容器52间上电极50a的连接。为简化,图15未绘示电容介电层48a。图14是为沿图15的14-14剖面线的剖面图。举例来说,沿一条线的MIM电容器的上电极50a可经由连接垫50b连接。连接垫50b可以和上电极和金属栅极50I和50II共面。
因此,上述的形成1T-RAM的方法,可使金属栅极和MIM电容器的上电极具有相同的材料,并且栅极介电层和电容器介电层可同为高介电材料。因此,MIM电容器可完全和金属栅极制程整合以节省成本。此外,本发明的方法形成连接垫在相邻MIM电容器的微沟槽图案中,如此相对应的上电极可以彼此电性连接。更甚者,在存储单元阵列区域和周边电路区域上的金属栅极相较于多晶硅栅极具有可图形化、低阻值、良好热可靠度、良好电流动及较少电压消耗的优点。
本发明的实施例是提供MIM电容器,其具有嵌入在STI结构的较低部分,且较高部分是大致和金属栅极共面。MIM电容器的沟槽设计可应用在高密集的存储单元阵列。可提高电容介电层的介电常数、使电容介电层的面积增加或使电容介电层厚度较薄,以增加电容器的电容。在本发明的一范例中,一增加电容器电容的方法为借着增加电容器沟槽的深度以增加电容介电层的面积。举例来说,STI结构16的深度d1可增加,以使电容沟槽40延伸至更深的部位。
图16是为一剖面图,其揭示一1T-RAM技术适用于金属栅极制程的双STI设计。其和图1至图15相类似的部分是省略之。和周边电路区域的STI结构18比较,在存储单元阵列区域12的STI结构16”具有较深的深度。举例来说,STI结构16”具有一深度D,而其较STI结构18的深度d2为深。深度D可介于5000~9000埃,且STI结构16”的底部厚度T介于500~3000埃。因此,电容介电层48a具有较大的面积,以增加MIM电容器52的电容。
以上所述仅为本发明较佳实施例,然其并非用以限定本发明的范围,任何熟悉本项技术的人员,在不脱离本发明的精神和范围内,可在此基础上做进一步的改进和变化,因此本发明的保护范围当以本申请的权利要求书所界定的范围为准。
附图中符号的简单说明如下:
10:基板    12:存储单元阵列区域
14:周边电路区域
16、18:浅沟槽结构
20:仿制栅极介电层
22、24:仿制栅极
26:间隙壁
28:轻掺杂区
30:源/漏极区
34:金属硅化物层
36:第一介电层
38:第一光致抗蚀剂层
39:第一开口
40:电容器沟槽
42:第一金属层
42a:下电极
42b、42c:下电极非连续部分
43:微构槽图案
44:第二光致抗蚀剂层
45:第二开口
46:第三开口
48:第二介电层
48”:第二介电层部分
48a:电容介电层
50:第二金属层
50a:上电极
50b:连接垫
50I、50II:自对准金属栅极

Claims (12)

1、一种半导体元件,其特征在于所述半导体元件包括:
一基板,具有一第一区域和一第二区域;
至少一绝缘结构,形成在该基板的第一区域中;
至少一电容器,嵌入在该绝缘结构中,其中该电容器包括一下电极层、一电容器介电层形成在该下电极层上、及一上电极层形成在该电容器介电层上;及
至少一第一晶体管,形成在该基板的第二区域,其中该第一晶体管包括一第一栅极介电层和一第一栅极层形成在该第一栅极介电层上;
其中该上电极层和该第一栅极层是由相同的金属材料形成,且该电容器介电层和该第一栅极介电层是由相同材料组成。
2、根据权利要求1所述的半导体元件,其特征在于:该
该至少一绝缘结构包括一第一绝缘结构和一第二绝缘结构,形成在该基板的第一区域中;
该至少一电容器包括一第一电容器和一第二电容器,分别嵌入该第一绝缘结构和该第二绝缘结构中;
其中,该第一电容器包括一第一下电极层和一第一上电极层;
其中,该第二电容器包括一第二下电极层和一第二上电极层;
其中该第一上电极层是经由一穿过该第一下电极层的上部和该第二下电极层的上部的接触垫电性连接该第二上电极层;及
其中该连接垫是和该第一上电极层和该第二上电极层由相同材料所组成。
3、根据权利要求1所述的半导体元件,其特征在于:该上电极层是和该第一栅极层共面。
4、根据权利要求1所述的半导体元件,其特征在于:该基板包括一存储单元区域和一外围电路区域,且该外围电路区域位于该基板中的该存储单元区域外的外围位置,且该第一区域和该第二区域是定义在该存储单元区域中,且该半导体元件更包括多个第二晶体管形成在该外围电路区域和该存储单元区域中,其中该第二晶体管包括一第二栅极介电层和一第二栅极层形成在该第二栅极介电层上。
5、根据权利要求4所述的半导体元件,其特征在于:该第二栅极层和该上电极层是由相同金属材料形成,且该第二栅极介电层和该电容器介电层是由相同介电材料形成。
6、根据权利要求4所述的半导体元件,其特征在于:
该基板包括至少一绝缘结构于该存储单元阵列区域中;
该基板包括至少一绝缘结构于该外围电路区域中;且
形成在该存储单元阵列区域中的绝缘结构的深度和形成在该外围阵列区域中的绝缘结构的深度相同,或形成在该存储单元阵列区域中的绝缘结构的深度大于形成在该外围阵列区域中的绝缘结构的深度。
7、根据权利要求1所述的半导体元件,其特征在于:
该上电极层是和该第一栅极层同时形成。
8、根据权利要求1或7所述的半导体元件,其特征在于:该半导体元件,更包括:
一第一沟槽绝缘结构和一第二沟槽绝缘结构,形成在该基板的第一区域中;
一第一电容器和一第二电容器,分别嵌入该第一沟槽绝缘结构和该第二沟槽绝缘结构中;
其中,该第一电容器包括一第一下电极层和一第一上电极层;
其中,该第二电容器包括一第二下电极层和一第二上电极层;
其中该第一上电极层是经由一穿过该第一下电极层的上部和该第二下电极层的上部的接触垫电性连接该第二上电极层:
其中该连接垫是和该第一上电极层和该第二上电极层由相同材料所组成。
9、一种半导体元件的制造方法,其特征在于所述半导体元件的制造方法包括:
提供一基板,具有一第一区域和一第二区域;
形成一第一介电层于该基板上;
形成一第一沟槽和一第二沟槽,穿过该第一介电层及该第一区域上该基板的一部分;
形成一第一金属层,分别沿着该第一构槽和该第二构槽的侧壁和底部;
形成至少一开口,穿过该第一介电层,以暴露该第二区域的该基板;
形成一第二介电层,于该第一金属层、该开口的底部和侧壁上;及
形成一第二金属层,于该第二介电层上,以填入该第一沟槽、该第二沟槽及该开口。
10、根据权利要求9所述的半导体元件的制造方法,其特征在于更包括:
形成至少一连接沟槽,于该第一金属层和该第一介电层中,以穿过该第一沟槽的上部及该第二沟槽的上部;
其中,填入该第二金属层的步骤是于该连接沟槽中填入该第二金属层。
11、根据权利要求9所述的半导体元件的制造方法,其特征在于更包括:
形成一第一沟槽绝缘结构和一第二沟槽绝缘结构,于该第一区域的基板中;
其中,该第一沟槽穿过该第一介电层和该第一沟槽绝缘结构的一部分;及
其中,该第二沟槽穿过该第一介电层和该第二沟槽绝缘结构的一部分。
12、根据权利要求9所述的半导体元件的制造方法,其特征在于更包括:
平坦化该第二金属层,直到在该第一沟槽和该第二沟槽中剩余的第二金属层和开口中剩余的第二金属层共面。
CNB2005100797293A 2004-07-22 2005-06-24 半导体元件及其制造方法 Active CN100517718C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/896,491 US7271083B2 (en) 2004-07-22 2004-07-22 One-transistor random access memory technology compatible with metal gate process
US10/896,491 2004-07-22

Publications (2)

Publication Number Publication Date
CN1725495A CN1725495A (zh) 2006-01-25
CN100517718C true CN100517718C (zh) 2009-07-22

Family

ID=35656245

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100797293A Active CN100517718C (zh) 2004-07-22 2005-06-24 半导体元件及其制造方法

Country Status (3)

Country Link
US (2) US7271083B2 (zh)
CN (1) CN100517718C (zh)
TW (1) TWI258842B (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6774439B2 (en) * 2000-02-17 2004-08-10 Kabushiki Kaisha Toshiba Semiconductor device using fuse/anti-fuse system
JP4096507B2 (ja) * 2000-09-29 2008-06-04 富士通株式会社 半導体装置の製造方法
JP4748927B2 (ja) * 2003-03-25 2011-08-17 ローム株式会社 半導体装置
TWI253748B (en) * 2004-09-17 2006-04-21 Powerchip Semiconductor Corp Nonvolatile memory and manufacturing method and operating method thereof
US7851302B2 (en) 2005-02-04 2010-12-14 Infineon Technologies Ag Capacitors and methods of manufacture thereof
DE102005030585B4 (de) * 2005-06-30 2011-07-28 Globalfoundries Inc. Halbleiterbauelement mit einem vertikalen Entkopplungskondensator und Verfahren zu seiner Herstellung
JP4282646B2 (ja) * 2005-09-09 2009-06-24 株式会社東芝 半導体装置の製造方法
US7790516B2 (en) * 2006-07-10 2010-09-07 Qimonda Ag Method of manufacturing at least one semiconductor component and memory cells
JP5266632B2 (ja) * 2006-11-29 2013-08-21 富士通株式会社 Mim素子および電子装置、電子装置の製造方法
JP5303938B2 (ja) * 2008-01-18 2013-10-02 富士通セミコンダクター株式会社 半導体装置とその製造方法
US20090224328A1 (en) * 2008-03-04 2009-09-10 Shyh-Fann Ting Semiconductor device
US8368136B2 (en) * 2008-07-03 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating a capacitor in a metal gate last process
US8125051B2 (en) * 2008-07-03 2012-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Device layout for gate last process
KR101628355B1 (ko) * 2008-10-30 2016-06-21 엘지이노텍 주식회사 임베디드 커패시터 및 그 제조방법
US7999300B2 (en) * 2009-01-28 2011-08-16 Globalfoundries Singapore Pte. Ltd. Memory cell structure and method for fabrication thereof
EP2422207A2 (en) * 2009-04-20 2012-02-29 Koninklijke Philips Electronics N.V. High magnetic field compatible interventional needle with integrated passive l-c circuit for needle position tracking in mri
US8198620B2 (en) * 2009-12-14 2012-06-12 Industrial Technology Research Institute Resistance switching memory
US8963223B2 (en) * 2010-03-01 2015-02-24 Broadcom Corporation Scalable integrated MIM capacitor using gate metal
KR20110117326A (ko) 2010-04-21 2011-10-27 매그나칩 반도체 유한회사 반도체 장치 및 그 제조방법
JP5427104B2 (ja) * 2010-05-11 2014-02-26 パナソニック株式会社 パターン形成方法
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
CN103021950A (zh) * 2011-09-20 2013-04-03 复旦大学 基于阻变栅介质的嵌入式存储器的制备方法
TWI488182B (zh) * 2012-01-04 2015-06-11 Inotera Memories Inc 高介電金屬閘極隨機存取記憶體
US8609486B1 (en) * 2012-01-06 2013-12-17 Altera Corporation Methods for fabricating deep trench capacitors
US8796760B2 (en) * 2012-03-14 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor and method of manufacturing the same
US9263583B2 (en) * 2013-10-14 2016-02-16 Globalfoundries Inc. Integrated finFET-BJT replacement metal gate
US9391156B2 (en) * 2014-08-28 2016-07-12 Globalfoundries Inc. Embedded capacitor
US9893145B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation On chip MIM capacitor
US11387232B2 (en) * 2017-03-23 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102342550B1 (ko) 2017-06-09 2021-12-23 삼성전자주식회사 반도체 장치
US10283361B1 (en) 2017-11-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Blocking structures on isolation structures
CN111081675B (zh) * 2018-10-18 2024-04-12 源芯半导体股份有限公司 具有绝缘电容的集成电路装置及其制造方法
CN109994420A (zh) * 2019-04-30 2019-07-09 上海华虹宏力半导体制造有限公司 一种深槽隔离结构的制造方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5173437A (en) * 1991-08-01 1992-12-22 Chartered Semiconductor Manufacturing Pte Ltd Double polysilicon capacitor formation compatable with submicron processing
US6528422B1 (en) * 2001-03-16 2003-03-04 Taiwan Semiconductor Manufacturing Company Method to modify 0.25μm 1T-RAM by extra resist protect oxide (RPO) blocking
US6620679B1 (en) * 2002-08-20 2003-09-16 Taiwan Semiconductor Manufacturing Company Method to integrate high performance 1T ram in a CMOS process using asymmetric structure
US6661043B1 (en) * 2003-03-27 2003-12-09 Taiwan Semiconductor Manufacturing Company One-transistor RAM approach for high density memory application
US6686288B1 (en) * 1996-02-21 2004-02-03 Micron Technology, Inc. Integrated circuit having self-aligned CVD-tungsten/titanium contact plugs strapped with metal interconnect and method of manufacture

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4051273A (en) * 1975-11-26 1977-09-27 Ibm Corporation Field effect transistor structure and method of making same
US5053350A (en) * 1989-03-23 1991-10-01 Grumman Aerospace Corporation Method of making trench MOSFET capacitor cell for analog signal processing
JP3400143B2 (ja) * 1994-09-17 2003-04-28 株式会社東芝 半導体記憶装置
JP3238066B2 (ja) * 1996-03-11 2001-12-10 株式会社東芝 半導体記憶装置およびその製造方法
US6096597A (en) * 1997-01-31 2000-08-01 Texas Instruments Incorporated Method for fabricating an integrated circuit structure
US6150687A (en) * 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
JP3132435B2 (ja) * 1997-09-22 2001-02-05 日本電気株式会社 半導体装置の製造方法
US6034401A (en) * 1998-02-06 2000-03-07 Lsi Logic Corporation Local interconnection process for preventing dopant cross diffusion in shared gate electrodes
TW471049B (en) * 1998-05-22 2002-01-01 United Microelectronics Corp Metal gate structure and manufacturing method for metal oxide semiconductor
US6468855B2 (en) * 1998-08-14 2002-10-22 Monolithic System Technology, Inc. Reduced topography DRAM cell fabricated using a modified logic process and method for operating same
US6140688A (en) * 1998-09-21 2000-10-31 Advanced Micro Devices Inc. Semiconductor device with self-aligned metal-containing gate
US6333533B1 (en) * 1999-09-10 2001-12-25 International Business Machines Corporation Trench storage DRAM cell with vertical three-sided transfer device
JP4774568B2 (ja) * 1999-10-01 2011-09-14 ソニー株式会社 半導体装置の製造方法
DE10019090A1 (de) * 2000-04-12 2001-10-25 Infineon Technologies Ag Grabenkondensator sowie dazugehöriges Herstellungsverfahren
US6387772B1 (en) * 2000-04-25 2002-05-14 Agere Systems Guardian Corp. Method for forming trench capacitors in SOI substrates
DE10030696B4 (de) * 2000-06-23 2006-04-06 Infineon Technologies Ag Integrierte Schaltungsanordnung mit zumindest einem vergrabenen Schaltungselement und einer Isolationsschicht sowie Verfahren zu deren Herstellung
US6329234B1 (en) * 2000-07-24 2001-12-11 Taiwan Semiconductor Manufactuirng Company Copper process compatible CMOS metal-insulator-metal capacitor structure and its process flow
US6271084B1 (en) * 2001-01-16 2001-08-07 Taiwan Semiconductor Manufacturing Company Method of fabricating a metal-insulator-metal (MIM), capacitor structure using a damascene process
JP2002222934A (ja) * 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
KR100388682B1 (ko) * 2001-03-03 2003-06-25 삼성전자주식회사 반도체 메모리 장치의 스토리지 전극층 및 그 형성방법
US6551915B2 (en) * 2001-07-03 2003-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal annealing/hydrogen containing plasma method for forming structurally stable low contact resistance damascene conductor structure
US6413815B1 (en) * 2001-07-17 2002-07-02 Macronix International Co., Ltd. Method of forming a MIM capacitor
US6656785B2 (en) * 2001-10-15 2003-12-02 Taiwan Semiconductor Manufacturing Co. Ltd MIM process for logic-based embedded RAM
JP4005805B2 (ja) * 2001-12-17 2007-11-14 株式会社東芝 半導体装置
US6821864B2 (en) * 2002-03-07 2004-11-23 International Business Machines Corporation Method to achieve increased trench depth, independent of CD as defined by lithography
US6787836B2 (en) * 2002-08-21 2004-09-07 International Business Machines Corporation Integrated metal-insulator-metal capacitor and metal gate transistor
KR100466310B1 (ko) * 2002-11-13 2005-01-14 삼성전자주식회사 금속-절연체-금속 커패시터의 제조 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5173437A (en) * 1991-08-01 1992-12-22 Chartered Semiconductor Manufacturing Pte Ltd Double polysilicon capacitor formation compatable with submicron processing
US6686288B1 (en) * 1996-02-21 2004-02-03 Micron Technology, Inc. Integrated circuit having self-aligned CVD-tungsten/titanium contact plugs strapped with metal interconnect and method of manufacture
US6528422B1 (en) * 2001-03-16 2003-03-04 Taiwan Semiconductor Manufacturing Company Method to modify 0.25μm 1T-RAM by extra resist protect oxide (RPO) blocking
US6620679B1 (en) * 2002-08-20 2003-09-16 Taiwan Semiconductor Manufacturing Company Method to integrate high performance 1T ram in a CMOS process using asymmetric structure
US6661043B1 (en) * 2003-03-27 2003-12-09 Taiwan Semiconductor Manufacturing Company One-transistor RAM approach for high density memory application

Also Published As

Publication number Publication date
US20080073688A1 (en) 2008-03-27
US7271083B2 (en) 2007-09-18
US7884408B2 (en) 2011-02-08
TWI258842B (en) 2006-07-21
TW200605270A (en) 2006-02-01
CN1725495A (zh) 2006-01-25
US20060017115A1 (en) 2006-01-26

Similar Documents

Publication Publication Date Title
CN100517718C (zh) 半导体元件及其制造方法
CN101471379B (zh) 半导体器件及其制造工艺
US5918118A (en) Dual deposition methods for forming contact metallizations, capacitors, and memory devices
KR20140123639A (ko) 에어갭을 구비한 반도체장치 및 그 제조 방법
JP4809961B2 (ja) 半導体装置及びその製造方法
JPH07202019A (ja) 半導体集積回路装置および製造方法
CN1983638A (zh) 晶体管、存储单元、存储单元阵列及其形成方法
US7495292B2 (en) Integrated circuit devices having pad contact plugs in the cell array and peripheral circuit regions of the integrated circuit substrate
JP2002134506A (ja) 半導体装置
US7851301B2 (en) Semiconductor capacitor structure and method to form same
US6664161B2 (en) Method and structure for salicide trench capacitor plate electrode
US20030094651A1 (en) Transistor in semiconductor devices and method of manufacturing the same
CN100403524C (zh) 记忆晶胞电容与逻辑元件的整合制造方法及其结构
US20060141700A1 (en) Method for fabricating semiconductor memory device having recessed storage node contact plug
JP4956355B2 (ja) 半導体装置の製造方法
US6724054B1 (en) Self-aligned contact formation using double SiN spacers
KR100558036B1 (ko) 반도체메모리장치의 제조 방법
US20020098659A1 (en) Method for forming steep spacer in a MOS device
JP3830762B2 (ja) 金属層又は金属ケイ化物層の構造化法
CN100372057C (zh) 电容器的制造方法
CN100424856C (zh) 制作沟渠电容动态随机存取存储器元件的方法
JP4956354B2 (ja) 半導体装置の製造方法
CN100490057C (zh) 沟渠式电容器及其制造方法
KR20030050772A (ko) 반도체 소자 제조 방법
JP2008053743A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant